新聞中心

EEPW首頁 > 電源與新能源 > 設計應用 > 基于FPGA的SPWM變頻系統(tǒng)設計與實現(xiàn)

基于FPGA的SPWM變頻系統(tǒng)設計與實現(xiàn)

作者: 時間:2013-12-14 來源:網(wǎng)絡 收藏

由于脈寬調(diào)制技術是通過調(diào)整輸出脈沖的頻率及占空比來實現(xiàn)輸出電壓的變壓變頻效果,所以在電機調(diào)速、逆變器等眾多領域得到了日益廣泛的應用。

本文引用地址:http://butianyuan.cn/article/227532.htm

而電磁法作為一種地球物理探測的有效方法,已經(jīng)廣泛地應用于礦藏勘探、地質(zhì)災害預測等領域。電磁法儀一般包括發(fā)射機和接收機兩大部分。現(xiàn)階段,電磁法儀器的發(fā)射機部分一般直接采用等寬PWM技術,其電流諧波畸變率較大,電壓利用率不高,效率很低。

本文利用技術,根據(jù)自然采樣法原理,設計了應用于電磁法儀的發(fā)射機的系統(tǒng)。該系統(tǒng)應用到現(xiàn)有的電磁法儀器中,與原來的PWM產(chǎn)生的效果進行比較,得到良好的效果。

1 技術原理

SPWM信號的原理為:用一組等腰三角形波與一個正弦波比較,其交點作為開關管“開”或“關”的時刻。產(chǎn)生SPWM信號有多種方法,如諧波消去法、等面積法、采樣法等。

利用正弦波和等腰三角形的交點時刻決定開關管的開關模式,這種方法稱為自然采樣法。其可以分為單極性三角波調(diào)制法和雙極性三角波調(diào)制法,其原理圖如圖1所示。本文采用的是雙極性調(diào)制法。2 SPWM系統(tǒng)的硬件實現(xiàn)

2.1 系統(tǒng)整體設計

系統(tǒng)原理如圖2所示。系統(tǒng)先生成三角波信號和正弦波信號,通過兩者輸出的比較產(chǎn)生脈沖序列,并對輸出的脈沖進行死區(qū)延時、數(shù)字濾波等處理。主要模塊有:分頻器、三角載波發(fā)生器、正弦函數(shù)表尋址、正弦函數(shù)表、死區(qū)時間延時模塊和數(shù)字濾波模塊等。

2.2三角載波發(fā)生器

本設計中通過加減計數(shù)器來產(chǎn)生載波三角波,三角波的幅值取256。先從0開始計數(shù)到256,再從256減數(shù)到0,得到半個周期的三角載波,然后重復前半周期的計數(shù)方式,對得到的計數(shù)值取負,這樣就可以得到一個周期的三角載波。

圖3是三角載波模塊的仿真圖??赏ㄟ^設定triwave_fp的值來實現(xiàn)三角波的分頻,當系統(tǒng)時鐘為10 MHz時,圖3(a)設triwave_fp為0,此時三角波周期為102.4 μs;圖3(b)設triwave_tp為1,其周期變?yōu)?04.8 μs。通過改變triwave_fp的取值,可以得到不同頻率的載波。2.3 正弦波發(fā)生器

本設計利用Matlab軟件工具,把正半周期的正弦波512等分后,把數(shù)據(jù)存人ROM中。調(diào)用ROM中的數(shù)據(jù),即可實現(xiàn)正半周期正弦波。再對正半周期取反,即可得到負半周期的值。本設計為了使得到的脈沖寬度可調(diào),加上了正弦幅度相乘調(diào)節(jié)模塊,其模塊原理圖如圖4所示。

同樣,可以控制模塊分頻單元,和調(diào)幅單元,改變正弦波的頻率及幅度。

2.4 比較模塊

三角載波和正弦參考波發(fā)生模塊設計完成后,對其輸出的結(jié)果進行比較以產(chǎn)生SPWM脈沖信號??梢酝ㄟ^Verilog硬件描述語言實現(xiàn),比較規(guī)則設置為當載波的數(shù)值小于正弦波的函數(shù)值時,輸出‘1’,否則輸出‘0’。

2.5 死區(qū)時間延時模塊

比較模塊后,得到兩路SPWM序列信號(xl,xh),用于控制電路的上下橋臂的開關。理論上,這兩路信號是完全互補的。然而,由于功率器件開通和關斷時間不完全相等,器件的關斷時間實際上要長于導通時間

分頻器相關文章:分頻器原理

上一頁 1 2 下一頁

評論


相關推薦

技術專區(qū)

關閉