首頁(yè)  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會(huì)展  EETV  百科   問(wèn)答  電路圖  工程師手冊(cè)   Datasheet  100例   活動(dòng)中心  E周刊閱讀   樣片申請(qǐng)
EEPW首頁(yè) >> 主題列表 >> 數(shù)碼鐘

小梅哥和你一起深入學(xué)習(xí)FPGA之?dāng)?shù)碼鐘(下)

  •   圖中存在較多的模塊,因此在此將每個(gè)模塊的功能做簡(jiǎn)單介紹:   另外,Clock_Control模塊為綜合模塊,內(nèi)部包含了時(shí)、分、秒、時(shí)鐘計(jì)數(shù)器模塊和時(shí)間設(shè)定模塊,該模塊的內(nèi)部結(jié)構(gòu)這里小梅哥不做過(guò)多介紹,詳細(xì)請(qǐng)參看代碼。   五、 代碼組織方式   本實(shí)驗(yàn)主要學(xué)習(xí)由頂向下的設(shè)計(jì)流程,代碼均為常見(jiàn)風(fēng)格,這里不多做介紹。希望讀者能夠通過(guò)代碼架構(gòu),學(xué)習(xí)領(lǐng)會(huì)這種自頂向下的設(shè)計(jì)結(jié)構(gòu)的優(yōu)勢(shì)。   六、 關(guān)鍵代碼解讀   本設(shè)計(jì)中,頂層模塊主要實(shí)現(xiàn)了各個(gè)模塊的例化和數(shù)碼管顯示使能的多路控制,相信看了圖4
  • 關(guān)鍵字: FPGA  數(shù)碼鐘  

小梅哥和你一起深入學(xué)習(xí)FPGA之?dāng)?shù)碼鐘(上)

  •   一、 實(shí)驗(yàn)?zāi)康?   實(shí)現(xiàn)數(shù)碼時(shí)鐘的功能,要求能夠進(jìn)行24時(shí)制時(shí)、分、秒的顯示,并能夠通過(guò)按鍵調(diào)整時(shí)間。   二、 實(shí)驗(yàn)原理   通過(guò)對(duì)系統(tǒng)時(shí)鐘進(jìn)行計(jì)數(shù),獲得1S的標(biāo)準(zhǔn)信號(hào),再以該信號(hào)為基礎(chǔ),進(jìn)行時(shí)、分、秒的計(jì)數(shù),通過(guò)數(shù)碼管將該計(jì)數(shù)值顯示出來(lái),即可實(shí)現(xiàn)數(shù)字鐘的功能。同時(shí)可以使用獨(dú)立按鍵對(duì)時(shí)、分、秒計(jì)數(shù)器的初始值進(jìn)行設(shè)置,即可實(shí)現(xiàn)時(shí)間的設(shè)定。   三、 硬件設(shè)計(jì)   本實(shí)驗(yàn)硬件電路簡(jiǎn)單,用到了8個(gè)數(shù)碼管和4個(gè)獨(dú)立按鍵。硬件電路如下:        圖3-1 數(shù)字鐘電路   
  • 關(guān)鍵字: FPGA  數(shù)碼鐘  
共2條 1/1 1

數(shù)碼鐘介紹

  數(shù)字鐘   數(shù)字鐘是一種用數(shù)字電路技術(shù)實(shí)現(xiàn)時(shí)、分、秒計(jì)時(shí)的鐘表。與機(jī)械鐘相比具有更高的準(zhǔn)確性和直觀性,具有更長(zhǎng)的使用壽命,已得到廣泛的使用。數(shù)字鐘的設(shè)計(jì)方法有許多種,例如可用中小規(guī)模集成電路組成電子鐘,也可以利用專(zhuān)用的電子鐘芯片配以顯示電路及其所需要的外圍電路組成電子鐘,還可以利用單片機(jī)來(lái)實(shí)現(xiàn)電子鐘等等。這些方法都各有其特點(diǎn),其中利用單片機(jī)實(shí)現(xiàn)的電子鐘具有編程靈活,以便于功能的擴(kuò)展。    [ 查看詳細(xì) ]

熱門(mén)主題

樹(shù)莓派    linux   
關(guān)于我們 - 廣告服務(wù) - 企業(yè)會(huì)員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國(guó)際技術(shù)信息咨詢(xún)有限公司
備案 京ICP備12027778號(hào)-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473