博客專欄

EEPW首頁 > 博客 > 拋棄納米制程叫法!英特爾公布技術(shù)路線圖,啟動(dòng)芯片代工服務(wù)

拋棄納米制程叫法!英特爾公布技術(shù)路線圖,啟動(dòng)芯片代工服務(wù)

發(fā)布人:芯東西 時(shí)間:2021-07-29 來源:工程師 發(fā)布文章
英特爾甩出兩大突破性技術(shù),要開啟半導(dǎo)體的埃米時(shí)代。

作者 |  心緣
編輯 |  漠影
芯東西7月27日?qǐng)?bào)道,剛剛,英特爾公布了公司有史以來最詳細(xì)的制程工藝和封裝技術(shù)路線圖!除了公布其近十多年來首個(gè)全新晶體管架構(gòu)RibbonFET和業(yè)界首個(gè)全新的背面電能傳輸網(wǎng)絡(luò)PowerVia之外,英特爾還重點(diǎn)介紹了迅速采用下一代極紫外光刻(EUV)技術(shù)的計(jì)劃,即高數(shù)值孔徑(High-NA)EUV。據(jù)悉,英特爾有望率先獲得業(yè)界第一臺(tái)High-NA EUV光刻機(jī)。此外,AWS成為第一個(gè)使用英特爾代工服務(wù)(IFS)封裝解決方案的客戶,高通也將采用Intel 20A制程工藝技術(shù)。英特爾公司CEO帕特·基辛格說:“我們正在加快制程工藝創(chuàng)新的路線圖,以確保到2025年制程性能再度領(lǐng)先業(yè)界?!?/span>


介紹新制程節(jié)點(diǎn)命名體系,宣布代工服務(wù)啟動(dòng)


業(yè)界早就意識(shí)到,從1997年開始,基于納米的傳統(tǒng)制程節(jié)點(diǎn)命名方法,不再與晶體管實(shí)際的柵極長度相對(duì)應(yīng)。此前整個(gè)行業(yè)使用著各不相同的制程節(jié)點(diǎn)命名和編號(hào)方案,這些方案無法全面展現(xiàn)該如何實(shí)現(xiàn)能效和性能的最佳平衡。對(duì)此,英特爾今天宣布為其制程節(jié)點(diǎn)引入了全新的命名體系,創(chuàng)建了一個(gè)清晰、一致的框架,來幫助客戶對(duì)整個(gè)行業(yè)的制程節(jié)點(diǎn)演進(jìn)建立一個(gè)更準(zhǔn)確的認(rèn)知。“對(duì)于未來十年走向超越1nm節(jié)點(diǎn)的創(chuàng)新,英特爾有著一條清晰的路徑?!被粮裾劦?,“英特爾的最新命名體系,是基于我們客戶看重的關(guān)鍵技術(shù)參數(shù)而提出的,即性能、功率和面積?!?/span>

英特爾去年推出的10nm SuperFin節(jié)點(diǎn),實(shí)現(xiàn)了英特爾有史以來最為強(qiáng)大的單節(jié)點(diǎn)內(nèi)性能增強(qiáng),現(xiàn)在已經(jīng)開始大批量生產(chǎn)。這一命名不會(huì)更改。從英特爾下一個(gè)節(jié)點(diǎn)(之前被稱作Enhanced SuperFin)Intel 7開始,英特爾后續(xù)節(jié)點(diǎn)將被命名為Intel 4、Intel 3和Intel 20A。最后這個(gè)命名反映了摩爾定律仍在持續(xù)生效。英特爾技術(shù)專家詳述了以下路線圖,其中包含新的節(jié)點(diǎn)命名和實(shí)現(xiàn)每個(gè)制程節(jié)點(diǎn)的創(chuàng)新技術(shù):1、基于FinFET晶體管優(yōu)化,Intel 7與英特爾10nm SuperFin相比,每瓦性能將提升約10%-15%。明年推出的Alder Lake客戶端產(chǎn)品將采用Intel 7工藝,隨后是面向數(shù)據(jù)中心的Sapphire Rapids預(yù)計(jì)將于2022年第一季度投產(chǎn)。Ponte Vecchio GPU也將采用Intel 7工藝,于2022年初上市,其中集成了基片(base tiles)和Rambo緩存晶片(Rambo cache tiles)。2、Intel 4完全采用EUV光刻技術(shù),可使用超短波長的光,刻印極微小的圖樣,每瓦性能約提升20%。Intel 4將在2022年下半年投產(chǎn),并于2023年出貨,產(chǎn)品包括面向客戶端的Meteor  Lake和面向數(shù)據(jù)中心的Granite Rapids。上個(gè)季度Meteor Lake客戶端計(jì)算晶片的tape in,是一個(gè)重要的里程碑,Intel 4也是英特爾首個(gè)完全采用EUV技術(shù)的制程節(jié)點(diǎn)。


3、Intel 3較Intel 4將在每瓦性能上提升約18%,在芯片面積上有額外改進(jìn),得益于FinFET的優(yōu)化和在更多工序中增加對(duì)EUV使用。Intel 3將于2023年下半年開始用于相關(guān)產(chǎn)品生產(chǎn)。4、Intel 20A將憑借RibbonFET和PowerVia兩大突破性技術(shù)開啟埃米時(shí)代。Intel 20A預(yù)計(jì)將在2024年推出。英特爾在Intel 20A制程工藝技術(shù)上與高通公司進(jìn)行合作。

兩大創(chuàng)新技術(shù)中,PowerVia是英特爾獨(dú)有的、業(yè)界首個(gè)背面電能傳輸網(wǎng)絡(luò),通過消除晶圓正面供電布線需求來優(yōu)化信號(hào)傳輸。RibbonFET是英特爾對(duì)Gate All Around晶體管的實(shí)現(xiàn),將成為公司自2011年率先推出FinFET以來的首個(gè)全新晶體管架構(gòu)。該技術(shù)加快了晶體管開關(guān)速度,同時(shí)實(shí)現(xiàn)與多鰭結(jié)構(gòu)相同的驅(qū)動(dòng)電流,但占用的空間更小。

5、面向2025年及更遠(yuǎn)的未來:從Intel 20A更進(jìn)一步的英特爾18A節(jié)點(diǎn)也已在研發(fā)中,將于2025年初推出,它將對(duì)RibbonFET進(jìn)行改進(jìn),在晶體管性能上實(shí)現(xiàn)又一次重大飛躍。在制程工藝基礎(chǔ)性創(chuàng)新方面,英特爾擁有悠久的歷史。據(jù)英特爾高級(jí)副總裁兼技術(shù)開發(fā)總經(jīng)理AnnKelleher博士回顧,英特爾引領(lǐng)了從90nm應(yīng)變硅向45nm高K金屬柵極的過渡,并在22nm時(shí)率先引入FinFET。”他希望憑借RibbonFET和PowerVia兩大開創(chuàng)性技術(shù),Intel 20A將成為制程技術(shù)的另一個(gè)分水嶺。“今天公布的創(chuàng)新技術(shù)不僅有助于英特爾規(guī)劃產(chǎn)品路線圖,對(duì)我們的代工服務(wù)客戶也至關(guān)重要?!被粮裾f,“業(yè)界對(duì)英特爾代工服務(wù)(IFS)有強(qiáng)烈的興趣,今天我很高興我們宣布了首次合作的兩位重要客戶。英特爾代工服務(wù)已揚(yáng)帆起航!”
有望獲得業(yè)界第一臺(tái)High-NA EUV光刻機(jī)


英特爾還致力于定義、構(gòu)建和部署下一代高數(shù)值孔徑EUV(High-NA EUV),有望率先獲得業(yè)界第一臺(tái)High-NA EUV光刻機(jī),并計(jì)劃在2025年成為首家在生產(chǎn)中實(shí)際采用High-NA  EUV的芯片制造商。當(dāng)前英特爾正與ASML密切合作,確保這一行業(yè)突破性技術(shù)取得成功,超越當(dāng)前一代EUV。此外,英特爾子公司IMS是EUV多波束掩??虒憙x的全球主要供應(yīng)商。這是制作高分辨率掩模的必備工具,而掩模則是實(shí)現(xiàn)EUV光刻技術(shù)的關(guān)鍵部分。采用掩??虒懠夹g(shù)對(duì)英特爾來說極具競爭優(yōu)勢(shì),也是同業(yè)的關(guān)鍵推動(dòng)力。從Intel 4節(jié)點(diǎn)起,英特爾將全面應(yīng)用EUV光刻技術(shù)生產(chǎn)相關(guān)產(chǎn)品,Intel 3會(huì)在更多工序中添加EUV的使用,來驅(qū)動(dòng)比標(biāo)準(zhǔn)全節(jié)點(diǎn)改進(jìn)水平更高的提升。
亞馬遜AWS率先使用英特爾代工服務(wù)(IFS)封裝解決方案


隨著英特爾全新IDM2.0戰(zhàn)略的實(shí)施,封裝對(duì)于實(shí)現(xiàn)摩爾定律變得更加重要。英特爾宣布,AWS將成為首個(gè)使用英特爾代工服務(wù)(IFS)封裝解決方案的客戶。


英特爾對(duì)領(lǐng)先行業(yè)的先進(jìn)封裝路線圖提出:1、EMIB作為首個(gè)2.5D嵌入式橋接解決方案將繼續(xù)引領(lǐng)行業(yè),英特爾自2017年以來一直在出貨EMIB產(chǎn)品。Sapphire Rapids將成為采用EMIB(嵌入式多芯片互連橋接)批量出貨的首個(gè)至強(qiáng)數(shù)據(jù)中心產(chǎn)品,也將是業(yè)界首個(gè)提供幾乎與單片設(shè)計(jì)相同性能的,但整合了兩個(gè)光罩尺寸的器件。繼Sapphire Rapids之后,下一代EMIB的凸點(diǎn)間距將從55μm縮短至45μm。2、Foveros利用晶圓級(jí)封裝能力,提供史上首個(gè)3D堆疊解決方案。Meteor Lake是在客戶端產(chǎn)品中實(shí)現(xiàn)Foveros技術(shù)的第二代部署。該產(chǎn)品具有36微米的凸點(diǎn)間距,不同晶片可基于多個(gè)制程節(jié)點(diǎn),熱設(shè)計(jì)功率范圍為5-125W。3、Foveros Omni開創(chuàng)了下一代Foveros技術(shù),通過高性能3D堆疊技術(shù)為裸片到裸片的互連和模塊化設(shè)計(jì)提供了無限制的靈活性。Foveros Omni允許裸片分解,將基于不同晶圓制程節(jié)點(diǎn)的多個(gè)頂片與多個(gè)基片混合搭配,預(yù)計(jì)將于2023年用到量產(chǎn)的產(chǎn)品中。

4、Foveros Direct實(shí)現(xiàn)了向直接銅對(duì)銅鍵合的轉(zhuǎn)變,它可以實(shí)現(xiàn)低電阻互連,并使得從晶圓制成到封裝開始,兩者之間的界限不再那么截然。Foveros Direct實(shí)現(xiàn)了10微米以下的凸點(diǎn)間距,使3D堆疊的互連密度提高了一個(gè)數(shù)量級(jí),為功能性裸片分區(qū)提出了新的概念,這在以前是無法實(shí)現(xiàn)的。Foveros Direct是對(duì)Foveros Omni的補(bǔ)充,預(yù)計(jì)也將于2023年用到量產(chǎn)的產(chǎn)品中。為了繼續(xù)保持在先進(jìn)封裝領(lǐng)域的領(lǐng)導(dǎo)地位,英特爾正著眼于2023年交付Foveros Omni和Foveros Direct之外的其他未來規(guī)劃,將在未來幾代技術(shù)中從電子封裝過渡到集成硅光子學(xué)的光學(xué)封裝。英特爾將繼續(xù)與包括Leti、IMEC和IBM在內(nèi)的產(chǎn)業(yè)伙伴密切合作,在以上和其他諸多創(chuàng)新領(lǐng)域進(jìn)一步發(fā)展制程和封裝技術(shù)。
結(jié)語:今年年底前宣布其在歐美的新工廠布局


基辛格特別提到上述創(chuàng)新技術(shù)都是在美國本土生產(chǎn)的,主要在英特爾俄勒岡州和亞利桑那州的工廠開發(fā),這鞏固了英特爾作為美國唯一一家同時(shí)擁有芯片研發(fā)和制造能力的領(lǐng)先企業(yè)的地位。他透露說,英特爾預(yù)計(jì)在今年年底前宣布其在歐洲和美國進(jìn)一步的工廠布局,這將是一筆足以支持大型晶圓廠的巨額投資,以此幫助世界實(shí)現(xiàn)更為平衡、可持續(xù)及安全的供應(yīng)鏈。同時(shí),英特爾歡迎美國和歐盟的政策制定者能夠以緊迫感采取行動(dòng),加快我們和集成電路產(chǎn)業(yè)其他公司的項(xiàng)目進(jìn)展。他們很高興看到最近宣布的支持美國半導(dǎo)體制造和研發(fā)的CHIPS法案以及歐盟正在采取類似舉措。隨著英特爾代工服務(wù)(IFS)的推出,讓客戶清晰了解情況比以往任何時(shí)候都顯得更加重要。在2021年10月27日至28日舉行的“英特爾Innovation”峰會(huì)上,英特爾將公布更多相關(guān)細(xì)節(jié)。


*博客內(nèi)容為網(wǎng)友個(gè)人發(fā)布,僅代表博主個(gè)人觀點(diǎn),如有侵權(quán)請(qǐng)聯(lián)系工作人員刪除。



關(guān)鍵詞: 英特爾

相關(guān)推薦

技術(shù)專區(qū)

關(guān)閉