新聞中心

EEPW首頁 > 嵌入式系統(tǒng) > 設(shè)計應(yīng)用 > 一種DSP與PCI總線的接口設(shè)計

一種DSP與PCI總線的接口設(shè)計

作者: 時間:2011-08-25 來源:網(wǎng)絡(luò) 收藏
向RAM寫數(shù)據(jù)→通過FLAG發(fā)出握手請求到CPLD→CPLD向9054的USERi發(fā)出中斷信號→9054查詢到中斷→9054或RAM數(shù)據(jù)。時序如圖3所示。

本文引用地址:http://www.butianyuan.cn/article/150296.htm

  

  CPLD的程序如下:

  Library IEEE;

  Use IEEE.STD_LOGIC_1164.all;

  Use IEEE.STD_LOGIC_unsigned.all;

  Use IEEE.std_logic_arith.all;

  ENTITY PCI IS

  PORT(

  ADS:IN STD_LOGIC;

  LCLK:IN STD_LOGI

  C;

  LWR:IN STD_LOGIC;

  LHOLD:IN STD_LOGIC;

  LHOLDA:OUT STD_LOGIC;

  READY:OUT STD_LOGIC;

  OE:OUT STD_LOGIC;

  RW:OUT STD_LOGIC);

  END PCI;

  ARCHITECTURE PCI_arch OF PCI IS

  SIGNAL signal_0:STD_LOGIC;

  BEGIN

  PROCESS(LCLK)

  BEGIN

  IF LCLK'EVENT AND LCLK='1'THEN

  IF LHOLD='1'THEN

  IF ADS='0'THEN

  Signal_0='1';

  ELSIF ADS='1'THEN

  Signal_0='0';

  END IF;

  END IF;

  END IF;

  IF LCLK'EVENT AND LCLK='1'THEN

  IF LHOLD='1'THEN

  IF LWR='0'THEN

  OE='0';

  RW='1';

  ELSIF LWR='1'THEN

  OE='1';

  RW='0';

  END IF;

  END IF;    END IF;

  IF LCLK'EVENT AND LCLK='0'THEN

  IF LHOLD='1'THEN

  IF signal_0='1'THEN

  READY='0';

  ELSIF signal_0='0'THEN

  READY='1';

  END IF;

  END IF;

  END IF;

  END PROCESS;

  PROCESS(LCLK,LHOLD)

  BEGIN

  IF LCLK'EVENT AND LCLK='0'THEN

  IF LHOLD='1'THEN

  LHOLDA='1';

  ELSIF LHOLD='0'THEN

  LHOLDA='0';

  END IF;

  END IF;

  END PROCESS;

  END PCI_arch;

  5 結(jié)束語

  本文介紹的與PCI的接 接方案靈活簡單,減小了布板的復(fù)雜度,簡化了PCI要求的時序,縮短了開發(fā)周期。采用該方案的數(shù)據(jù)處理系統(tǒng)工作穩(wěn)定,已應(yīng)用在低頻信號檢測領(lǐng)域中。


上一頁 1 2 下一頁

關(guān)鍵詞: 接口 設(shè)計 總線 PCI DSP 一種

評論


相關(guān)推薦

技術(shù)專區(qū)

關(guān)閉