新聞中心

EEPW首頁(yè) > EDA/PCB > 設(shè)計(jì)應(yīng)用 > 基于FPGA的2M誤碼測(cè)試儀設(shè)計(jì)

基于FPGA的2M誤碼測(cè)試儀設(shè)計(jì)

作者: 時(shí)間:2009-12-04 來(lái)源:網(wǎng)絡(luò) 收藏


0 引言
無(wú)論是何種通信新業(yè)務(wù)的推出和運(yùn)營(yíng),都離不開(kāi)強(qiáng)力有效且高可靠的傳輸系統(tǒng)。隨之而帶來(lái)的問(wèn)題就是如何對(duì)系統(tǒng)的傳輸質(zhì)量進(jìn)行測(cè)量和保證。
誤碼測(cè)試儀是一種能夠測(cè)量和保證傳輸質(zhì)量的智能化儀器,該儀器可通過(guò)檢測(cè)來(lái)反映數(shù)據(jù)傳輸設(shè)備及其信道工作的誤碼損傷性能質(zhì)量指標(biāo),并對(duì)其進(jìn)行傳輸質(zhì)量分析的有效工具。在電信運(yùn)營(yíng)、工程驗(yàn)收、科研、設(shè)備生產(chǎn)、教學(xué)實(shí)驗(yàn)等各方面,誤碼儀都是必不可少的通信測(cè)量和線路維護(hù)的最佳輔助工具。目前在陜西省業(yè)務(wù)設(shè)備的接口應(yīng)用中,百分之九十以上的接口是2M的接口,比如:交換網(wǎng)絡(luò)上應(yīng)用、信令網(wǎng)上的應(yīng)用、數(shù)據(jù)網(wǎng)上的應(yīng)用、網(wǎng)管網(wǎng)上的應(yīng)用等,都使用了2M的數(shù)據(jù)。針對(duì)傳統(tǒng)誤碼儀的不足,本文給出了基于Altera公司的cyclone系列芯片EP1C12-240PQFP的測(cè)試儀的設(shè)計(jì)方案?;贔P-GA的誤碼測(cè)試儀相對(duì)于傳統(tǒng)的誤碼測(cè)試儀的優(yōu)點(diǎn)在于其的內(nèi)部邏輯功能是通過(guò)向內(nèi)部靜態(tài)存儲(chǔ)器單元加載配置數(shù)據(jù)來(lái)實(shí)現(xiàn)的。其配置文件決定了邏輯單元的邏輯功能以及模塊間或與I/O間的連接,并最終決定了所實(shí)現(xiàn)的功能。FPGA的這種結(jié)構(gòu)允許多次編程,并享有快速有效地對(duì)新設(shè)計(jì)進(jìn)行優(yōu)化的靈活性,故現(xiàn)今的通信系統(tǒng)大量采用FPGA作為系統(tǒng)的核心控制器件。本文重點(diǎn)介紹了FPGA內(nèi)核中序列發(fā)生模塊和序列接收模塊中核心內(nèi)容的設(shè)計(jì)。其中FPGA內(nèi)核中m序列發(fā)生器的設(shè)計(jì)思想采用移位寄存器理論,并根據(jù)本原多項(xiàng)式來(lái)獲得m序列移位寄存器的反饋邏輯式:

其中cn-1-i∈GF(2)是反饋系數(shù),xi∈GF(2)是每位寄存器的狀態(tài)。這樣,結(jié)合cyclone系列FPGA芯片EP1C12-240PQFP的結(jié)構(gòu)特點(diǎn),就很容易實(shí)現(xiàn)反饋移位寄存器的邏輯功能。

本文引用地址:http://www.butianyuan.cn/article/191869.htm


1 誤碼測(cè)試系統(tǒng)的總體方案
誤碼一般是由通信系統(tǒng)中接收端抽樣判決器的錯(cuò)誤判斷造成的,而造成錯(cuò)誤判斷的原因主要有兩個(gè):一是碼間串?dāng)_,另一個(gè)是信道加性噪聲的影響。誤碼測(cè)試儀存在兩種設(shè)計(jì)方案,一種是誤碼儀的發(fā)射端模塊和接收模塊兩部分獨(dú)立,可用于單工的通信系統(tǒng)性能測(cè)試。但是由于該系統(tǒng)的測(cè)試序列需要?jiǎng)討B(tài)生成,所以,其能夠測(cè)試的系統(tǒng)碼速只能和FPGA控制模塊所能達(dá)到的速度相同。另一種方案是將發(fā)射端模塊和接收模塊結(jié)合在一個(gè)系統(tǒng)內(nèi)部,這樣能測(cè)試具有回環(huán)的通信系統(tǒng),如雙工通信的收發(fā)設(shè)備等。本文設(shè)計(jì)屬于第二種方案,其誤碼測(cè)試系統(tǒng)框圖如圖1所示。圖中,發(fā)送端模塊產(chǎn)生的偽隨機(jī)序列作為通信系統(tǒng)的信源數(shù)據(jù)流流經(jīng)信道,接收端模塊則接收來(lái)自信道輸出的比特流,并將其與接收端模塊產(chǎn)生的與發(fā)送端模塊輸出類型相同的偽隨機(jī)序列進(jìn)行比較,從而完成誤碼測(cè)試。誤碼儀由發(fā)送端的序列發(fā)生器1模快、接收端的位同步信號(hào)提取模塊、幀同步碼檢測(cè)模塊、序列發(fā)生器2模塊、誤碼分析模塊及外設(shè)接口構(gòu)成。其中位同步信號(hào)提取模塊的作用是獲得位定時(shí)同步脈沖。幀同步碼檢測(cè)模塊的作用是獲取幀同步信號(hào),以使兩個(gè)序列能以同相位比較計(jì)算誤碼率。因?yàn)槿绻邮盏臏y(cè)試序列與序列發(fā)生器2模塊產(chǎn)生的序列兩者相位不同,則誤碼的測(cè)試結(jié)果將毫無(wú)意義。


2 系統(tǒng)硬件設(shè)計(jì)
2.1 系統(tǒng)硬件組成
根據(jù)誤碼測(cè)試儀器的功能要求,在本系統(tǒng)的硬件總體架構(gòu)中,將FPGA作為總控制器,并外擴(kuò)E1接口模塊,以用于實(shí)現(xiàn)碼型和波形的轉(zhuǎn)換,同時(shí)將FPGA輸出的NRZ碼型轉(zhuǎn)換成適合于在E1信道中傳輸?shù)腍DB3碼型,并將來(lái)自E1信道的HDB3碼轉(zhuǎn)換為NRZ碼送入FPGA中;E2PROM存儲(chǔ)模塊則可將誤碼分析模塊的誤碼測(cè)試結(jié)果進(jìn)行存儲(chǔ),且掉電不丟失數(shù)據(jù),并可隨時(shí)讀取,以便于在無(wú)人值守的情況下進(jìn)行誤碼測(cè)試;LCM顯示模塊是為了使用戶了解誤碼測(cè)試結(jié)果;RS232串口模塊則用于和PC機(jī)通訊,上傳誤碼檢測(cè)結(jié)果,以便于從PC機(jī)上看到一段時(shí)間內(nèi)誤碼率曲線圖及其它誤碼信息,使用戶了解通信系統(tǒng)的誤碼發(fā)生情況;此外,系統(tǒng)還外擴(kuò)有鍵盤、電源管理模塊、時(shí)鐘電路、JTAG下載口和AS下載口。


上一頁(yè) 1 2 3 4 下一頁(yè)

評(píng)論


相關(guān)推薦

技術(shù)專區(qū)

關(guān)閉