首頁  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會展  EETV  百科   問答  電路圖  工程師手冊   Datasheet  100例   活動中心  E周刊閱讀   樣片申請
EEPW首頁 >> 主題列表 >> dds-11a型實驗室電導(dǎo)率

用于AD9834 波形發(fā)生器(DDS)的幅度控制電路

  • 電路功能與優(yōu)勢 圖 1所示電路提供一種簡單的方法, 可控制 75 MHz低功耗(20 mW)波形發(fā)生器(DDS) AD9834的 ...
  • 關(guān)鍵字: 實驗室電路  AD9834  DDS  

基于FPGA和DDS技術(shù)的軟件無線電可控數(shù)字調(diào)制器的

  • 0引言軟件無線電是在無線通信領(lǐng)域提出的一種新的通信系統(tǒng)體系結(jié)構(gòu),其核心思想是以開放性、標(biāo)準(zhǔn)化、模...
  • 關(guān)鍵字: 軟件無線電  DDS  

基于CORDIC改進(jìn)算法的DDS設(shè)計

  • 傳統(tǒng)DDS是由美國學(xué)者Tierney等提出,采用查找表結(jié)構(gòu)實現(xiàn),很難滿足數(shù)字信號處理領(lǐng)域中高精度、高分辨率、實時...
  • 關(guān)鍵字: CORDIC  DDS  

基于DDS的無線數(shù)據(jù)傳輸系統(tǒng)設(shè)計與實現(xiàn)

  • DDS 技術(shù)是近幾年來迅速發(fā)展的頻率合成技術(shù), 它采用全數(shù)字化的技術(shù), 具有集成度高、體積小、相對帶寬寬、頻率分辨率高、跳頻時間短、相位連續(xù)性好、可以寬帶正交輸出、可以外加調(diào)制的優(yōu)點, 并方便與控制器接口構(gòu)
  • 關(guān)鍵字: 設(shè)計  實現(xiàn)  傳輸系統(tǒng)  數(shù)據(jù)  DDS  無線  基于  

基于FPGA和DDS技術(shù)的任意波形發(fā)生器設(shè)計

  • 摘要:根據(jù)現(xiàn)代電子系統(tǒng)對信號源的頻率穩(wěn)定度、準(zhǔn)確度及分辨率越來越高的要求,結(jié)合直接數(shù)字式頻率合成器(DDS)的優(yōu)點,利用FPGA芯片的可編程性和實現(xiàn)方案易改動的特點,提出了一種基于FPGA和DDS技術(shù)的任意波形發(fā)生器
  • 關(guān)鍵字: FPGA  DDS  任意波形發(fā)生器    

一種基于DDS和Qt的“所見即所得”波形發(fā)生器

  • 提出一種基于直接數(shù)字頻率合成(DDS)技術(shù)和Qt鳊程的任意波形發(fā)生器(AWG)的整體設(shè)計方案。完成了DDS在FPGA中的硬件修改設(shè)計以及在Linux環(huán)境下Qt程序的軟件設(shè)計和內(nèi)核驅(qū)動程序的開發(fā),并給出實驗結(jié)果。實驗結(jié)果表明:通過觸摸筆繪制任意波形,即可產(chǎn)生與所繪波形在時間和電壓上均相同的實際電信號,達(dá)到“所見即所得”的效果,以滿足各種測試和試驗的要求。
  • 關(guān)鍵字: DDS  波形發(fā)生器    

DDS的調(diào)頻信號發(fā)生器的硬件設(shè)計與仿真

  • DDS(直接數(shù)字頻率合成器)具有相位變換連續(xù)、頻率轉(zhuǎn)換速度快、頻率分辨率高、相位噪聲低、頻率穩(wěn)定度高、集 ...
  • 關(guān)鍵字: DDS  

國家電網(wǎng)單相表DDS-GW解決方案

  • 方案簡介隨著電子式電能表技術(shù)的迅速發(fā)展,電網(wǎng)的智能化和信息化成為一個必然的趨勢。因此,智能電表潛在著巨大的市場需求。為了更好的建設(shè)和發(fā)展國家電網(wǎng),國家電網(wǎng)公司于2009年9月頒布了新的《智能電能表系列標(biāo)準(zhǔn)》
  • 關(guān)鍵字: 解決方案  DDS-GW  單相  電網(wǎng)  國家  

基于DDS的橢圓函數(shù)低通濾波器的設(shè)計

  • 摘要:低通濾波器是直接數(shù)字頻率合成DDS的重要組成部分,其性能的好壞直接影響整個DDS的特性。提出一種基于DDS的橢圓函數(shù)低通濾波器的設(shè)計方案,該設(shè)計采用全新的歸一化方法,并使用EDA軟件Multisim2001進(jìn)行仿真,確
  • 關(guān)鍵字: DDS  橢圓函數(shù)  低通濾波器    

直接數(shù)字頻率合成DDS原理及基于FPGA的實現(xiàn)

  • 直接數(shù)字頻率合成技術(shù)(DirectDigitalSynthesis,DDS)是一種從相位概念出發(fā)直接合成所需要的波形的新的...
  • 關(guān)鍵字: DDS  FPGA  數(shù)字通信系統(tǒng)  

DDS原理及基于FPGA的實現(xiàn)

  • 本文主要介紹了DDS的原理及通過FPGA來實現(xiàn)。
  • 關(guān)鍵字: FPGA  DDS  原理    

一種基于DDS的電路板檢測儀信號源設(shè)計

  • 0引言某型導(dǎo)彈測試設(shè)備電路板檢測儀主要完成該測試設(shè)備的電路板的故障檢測。該檢測系統(tǒng)要求激勵...
  • 關(guān)鍵字: DDS  信號源  電路板檢測儀  FPGA  

FPGA的DDS調(diào)頻信號研究與實現(xiàn)

  • 1 引言  直接數(shù)字頻率合成器(DDS)技術(shù),具有頻率切換速度快,很容易提高頻率分辨率、對硬件要求低、可編程全數(shù)字化便于單片集成、有利于降低成本、提高可靠性并便于生產(chǎn)等優(yōu)點。目前各大芯片制造廠商都相繼
  • 關(guān)鍵字: FPGA  DDS  調(diào)頻信號    

基于FPGA及DDS技術(shù)的USM測試電源的設(shè)計

  • 超聲波電機(jī)的運轉(zhuǎn)需要一個兩相相差90°(或可調(diào))的高頻交流信號源。本方案采用DDS技術(shù)的設(shè)計思路,用VHDL硬件描述語言對FPGA器件編程產(chǎn)生了兩相四路高頻信號。該信號經(jīng)過驅(qū)動隔離電路施加于H橋逆變器中,在電感的平滑作用下,生成了滿足USM測試要求的可調(diào)頻、調(diào)相、調(diào)幅的兩相高頻交流信號源,成功地對USM45電機(jī)進(jìn)行了驅(qū)動測試。該電路可用于研究超聲波電機(jī)的運行狀態(tài)的研究及獲取其最佳工作點參數(shù)。
  • 關(guān)鍵字: 測試  電源  設(shè)計  USM  技術(shù)  FPGA  DDS  基于  

基于DDS和FPGA技術(shù)的高動態(tài)擴(kuò)頻信號源的研究

  • 提出一種基于DDS和FPGA技術(shù)的高動態(tài)擴(kuò)頻仿真信號源的實現(xiàn)方案。采用了DDS技術(shù)的芯片AD9854和AD9850,能夠模擬多普勒頻移,實現(xiàn)高動態(tài)環(huán)境仿真。載波中心頻率變化范圍達(dá)到100kHz,變化率1.8kHz/s。
  • 關(guān)鍵字: FPGA  DDS  動態(tài)  擴(kuò)頻    
共260條 11/18 |‹ « 9 10 11 12 13 14 15 16 17 18 »

dds-11a型實驗室電導(dǎo)率介紹

您好,目前還沒有人創(chuàng)建詞條dds-11a型實驗室電導(dǎo)率!
歡迎您創(chuàng)建該詞條,闡述對dds-11a型實驗室電導(dǎo)率的理解,并與今后在此搜索dds-11a型實驗室電導(dǎo)率的朋友們分享。    創(chuàng)建詞條

熱門主題

樹莓派    linux   
關(guān)于我們 - 廣告服務(wù) - 企業(yè)會員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國際技術(shù)信息咨詢有限公司
備案 京ICP備12027778號-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473