首頁  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會(huì)展  EETV  百科   問答  電路圖  工程師手冊   Datasheet  100例   活動(dòng)中心  E周刊閱讀   樣片申請
EEPW首頁 >> 主題列表 >> dds-11a型實(shí)驗(yàn)室電導(dǎo)率

dds-11a型實(shí)驗(yàn)室電導(dǎo)率 文章 進(jìn)入dds-11a型實(shí)驗(yàn)室電導(dǎo)率技術(shù)社區(qū)

DDS技術(shù)在機(jī)場助航燈恒流調(diào)光器系統(tǒng)中的應(yīng)用

  • 機(jī)場助航燈恒流調(diào)光器系統(tǒng)要求輸出電壓的波形總諧波含量小,穩(wěn)壓穩(wěn)頻精度高。本文提出了一種基于DDS技術(shù)的SPWM波形產(chǎn)生方法。通過DDS頻率合成的數(shù)學(xué)模型,介紹了在助航燈恒流調(diào)光器系統(tǒng)中基于DDS理論在TMS320LF2407A上實(shí)現(xiàn)SPWM波形的原理和方法及利用LF2407A的EVA模塊生成SPWM波形的簡便性,并通過實(shí)驗(yàn)進(jìn)行了驗(yàn)證,對機(jī)場助航燈新型調(diào)光器的設(shè)計(jì)具有重要的參考意義。
  • 關(guān)鍵字: DDS  恒流  調(diào)光器  系統(tǒng)    

基于ARM和FPGA的聲納波形產(chǎn)生系統(tǒng)設(shè)

  • 基于ARM和FPGA的聲納波形產(chǎn)生系統(tǒng)設(shè),1、引言  最佳聲納系統(tǒng)的設(shè)計(jì)需要從聲納波形、聲納信道和聲納接收機(jī)三方面進(jìn)行綜合考慮[1]。在聲納信道一定的假設(shè)下,需要設(shè)計(jì)最佳聲納波形和最佳接收機(jī),使聲納系統(tǒng)能在給定的聲納環(huán)境中對目標(biāo)有最佳的檢測效果。
  • 關(guān)鍵字: 產(chǎn)生  系統(tǒng)  波形  聲納  ARM  FPGA  基于  ARM  FPGA  聲納波形產(chǎn)生系統(tǒng)  DDS  軟件  

基于DDS芯片AD9850的全數(shù)控函數(shù)信號發(fā)生器的設(shè)計(jì)與

  • 信號源是電子產(chǎn)品測量與調(diào)試、部隊(duì)設(shè)備技術(shù)保障等領(lǐng)域的基本電子設(shè)備。隨著科學(xué)技術(shù)的發(fā)展和測量技術(shù)的進(jìn)步,普通的信號發(fā)生器已無法滿足目前日益發(fā)展的電子技術(shù)領(lǐng)域的生產(chǎn)調(diào)試需要。而DDS技術(shù)是一種新興的直接數(shù)字頻率合成技術(shù),具有頻率分辨率高、頻率切換速度快、切換相位連續(xù)、輸出信號相位噪聲低、可編程、全數(shù)字化易于集成、體積小、重量輕等優(yōu)點(diǎn),因而在雷達(dá)及通信等領(lǐng)域具有廣泛的應(yīng)用前景。


  • 關(guān)鍵字: 9850  DDS  AD  芯片    

基于DDS技術(shù)的智能信號發(fā)生器的設(shè)計(jì)

  •         引言         變壓器繞組變形測試儀是保證電力系統(tǒng)安全運(yùn)行的重要儀器之一,它需要程控的高精度的正弦信號才能正常工作。智能信號源為其提供了頻率按步長值可自動(dòng)更新輸出的掃頻信號,其性能的特點(diǎn)直接影響著變壓器繞組變形測試儀的研發(fā)工作。傳統(tǒng)的方法往往采取壓控振蕩器或分離模塊組成的“直接數(shù)字頻率合成器”(DDS),但這些方法大
  • 關(guān)鍵字: DDS  AD9850  信號發(fā)生器  功率放大   

基于DDS的頻譜分析儀的設(shè)計(jì)與應(yīng)用

  • 1 引言   直接數(shù)字頻率合成(DDS)是近幾年一種新型的頻率合成法,其具有頻率切換速度快,頻率分辨率高,以及便于 ...
  • 關(guān)鍵字: DDS  頻譜分析儀  現(xiàn)場可編程門陣列    

基于DDS的頻譜分析儀設(shè)計(jì)

  •   1 引言   直接數(shù)字頻率合成(DDS)是近幾年一種新型的頻率合成法,其具有頻率切換速度快,頻率分辨率高,以及便于集成等優(yōu)點(diǎn)。在此,設(shè)計(jì)了基于DDS的頻譜分析儀,該頻譜分析儀依據(jù)外差原理,被測信號與本征頻率混頻,實(shí)現(xiàn)信號的頻譜分析。   2 系統(tǒng)設(shè)計(jì)   圖1給出系統(tǒng)設(shè)計(jì)框圖,主要由本機(jī)振蕩電路、混頻電路、放大檢波電路、頻譜輸出顯示電路等組成。通過單片機(jī)和現(xiàn)場可編程門陣列(FPGA)共同控制AD985l,以產(chǎn)生正弦掃頻輸出信號,然后經(jīng)濾波、程控放大得到穩(wěn)定輸出,與經(jīng)放大處理的被測信號混頻,再經(jīng)放
  • 關(guān)鍵字: DDS  頻譜分析儀  

基于DDS的8051F330囀音信號發(fā)生器

  • 通過對囀音信號進(jìn)行簡化處理。將復(fù)雜的囀音信號轉(zhuǎn)換為簡單的純音信號。在此基礎(chǔ)上,利用DDS技術(shù)對純音信號進(jìn)行數(shù)字化處理,并通過CVI強(qiáng)大的信號分析和處理函數(shù)得到單周期純音信號所對應(yīng)的離散幅值。同時(shí)設(shè)計(jì)了硬件電路。對構(gòu)造的囀音信號進(jìn)行輸出。此外,還提出了對該系統(tǒng)進(jìn)行拓展的可行性及優(yōu)化的著眼點(diǎn)。
  • 關(guān)鍵字: 信號發(fā)生器  8051F330  DDS  基于  數(shù)字處理  8051F330  放大器  單片機(jī)  

基于FPGA+DDS的控制設(shè)計(jì)

  • 為了滿足目前對數(shù)據(jù)處理速度的需求,設(shè)計(jì)了一種基于FPGA+DDS的控制系統(tǒng)。闡述了系統(tǒng)硬件實(shí)現(xiàn)方法,給出了相關(guān)的軟件設(shè)計(jì)例程。并對DDS AD9911各個(gè)控制寄存器的設(shè)置與時(shí)序進(jìn)行詳細(xì)說明,最后給出了實(shí)驗(yàn)結(jié)果。
  • 關(guān)鍵字: FPGA  DDS  控制設(shè)計(jì)    

一種基于DDS的幅值可調(diào)信號發(fā)生器的設(shè)計(jì)

  • 提出了一種基于DDS(Direct Digital Synthesize)AD9850的頻率、相位、幅值均可調(diào)節(jié)的正弦信號發(fā)生器。該正弦信號發(fā)生器采用AT89S52單片機(jī)為控制器,D/A轉(zhuǎn)換器TLC5615與乘法器AD534相結(jié)合。實(shí)現(xiàn)輸出正弦信號幅值可控,采用AD8ll控制輸出正弦信號電壓幅值,產(chǎn)生50 H2~3 kHz頻段的正弦波,步進(jìn)頻率為50 Hz。該信號發(fā)生器可應(yīng)用在交變磁場測量儀和試驗(yàn)儀器、工程設(shè)計(jì)的函數(shù)發(fā)生器中。
  • 關(guān)鍵字: DDS  可調(diào)  信號發(fā)生器  設(shè)計(jì)  基于  模擬  AD9850  TLC5615  

基于DDS的數(shù)字PLL

  •   多年以來,作為業(yè)界主流產(chǎn)品的模擬PLL已被熟知,模擬PLL性能穩(wěn)定,可為頻率合成和抖動(dòng)消除提供低成本的解決方案,工作頻率高達(dá)8GHz及以上。然而新興的基于直接數(shù)字頻率合成(DDS)的數(shù)字PLL在某些應(yīng)用中極具競爭力。本文比較了模擬PLL和基于DDS的數(shù)字PLL之間的差異,以及如何利用這些差異來指導(dǎo)設(shè)計(jì)人員選擇最佳的解決方案。   數(shù)字PLL利用數(shù)字邏輯實(shí)現(xiàn)傳統(tǒng)的PLL模塊。雖然實(shí)現(xiàn)數(shù)字PLL的方法有很多,但本文只介紹基于DDS的數(shù)字PLL架構(gòu)。     圖1 典型的模擬PLL結(jié)構(gòu)框圖
  • 關(guān)鍵字: PLL  DDS  分頻器  鑒相器  DAC  VCO  

基于FPGA的直接數(shù)字頻率合成器的設(shè)計(jì)實(shí)現(xiàn)

基于DDS的數(shù)字PLL

  •   多年以來,作為業(yè)界主流產(chǎn)品的模擬PLL已被熟知,模擬PLL性能穩(wěn)定,可為頻率合成和抖動(dòng)消除提供低成本的解決方案,工作頻率高達(dá)8GHz及以上。然而新興的基于直接數(shù)字頻率合成(DDS)的數(shù)字PLL在某些應(yīng)用中極具競爭力。   數(shù)字PLL利用數(shù)字邏輯實(shí)現(xiàn)傳統(tǒng)的PLL模塊。雖然實(shí)現(xiàn)數(shù)字PLL的方法有很多,但本文只介紹基于DDS的數(shù)字PLL架構(gòu)。 圖1 典型的模擬PLL結(jié)構(gòu)框圖   圖1所示的是典型的模擬PLL。輸入信號首先進(jìn)入?yún)⒖挤诸l器,參考分頻器可降低輸入鑒相器的信號頻率。在PLL中,參考分頻器的
  • 關(guān)鍵字: DDS  數(shù)字PLL  

基于C8051F060單片機(jī)控制AD9833實(shí)現(xiàn)FSK調(diào)制

  •   引言    在數(shù)字信息傳輸中,基帶數(shù)字信號通常要經(jīng)過調(diào)制器調(diào)制,將頻率搬移到適合信息傳輸?shù)念l段上。2FSK就是用數(shù)字信號去調(diào)制載波的頻率(移頻鍵控),由于它具有方法簡單、易于實(shí)現(xiàn)、抗噪聲和抗衰落性能較強(qiáng)等優(yōu)點(diǎn),因此在現(xiàn)代數(shù)字通信系統(tǒng)的低、中速數(shù)據(jù)傳輸中得到了廣泛應(yīng)用。   直接數(shù)字頻率合成技術(shù)(DDS)將先進(jìn)的數(shù)字處理技術(shù)與方法引入信號合成領(lǐng)域。DDS器件采用高速數(shù)字電路和高速D/A轉(zhuǎn)換技術(shù),具備頻率轉(zhuǎn)換時(shí)間短、頻率分辨率高、頻率穩(wěn)定度高、輸出信號頻率和相位可快速程控切換等優(yōu)點(diǎn),可以
  • 關(guān)鍵字: 數(shù)字信號  調(diào)制器  DDS  單片機(jī)控  

基于FPGA的高階QAM調(diào)制器的分析與設(shè)計(jì)

共260條 15/18 |‹ « 9 10 11 12 13 14 15 16 17 18 »

dds-11a型實(shí)驗(yàn)室電導(dǎo)率介紹

您好,目前還沒有人創(chuàng)建詞條dds-11a型實(shí)驗(yàn)室電導(dǎo)率!
歡迎您創(chuàng)建該詞條,闡述對dds-11a型實(shí)驗(yàn)室電導(dǎo)率的理解,并與今后在此搜索dds-11a型實(shí)驗(yàn)室電導(dǎo)率的朋友們分享。    創(chuàng)建詞條

熱門主題

樹莓派    linux   
關(guān)于我們 - 廣告服務(wù) - 企業(yè)會(huì)員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國際技術(shù)信息咨詢有限公司
備案 京ICP備12027778號-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473