新聞中心

EEPW首頁 > EDA/PCB > 設計應用 > 基于FPGA的具有數(shù)字顯示的水溫測控系統(tǒng)

基于FPGA的具有數(shù)字顯示的水溫測控系統(tǒng)

作者: 時間:2010-03-18 來源:網(wǎng)絡 收藏

3.1 硬件設計原理
系統(tǒng)的硬件平臺大致可分為以下幾個功能模塊:模擬輸入模塊、微處理器及其外圍模塊、UART模塊、時鐘產(chǎn)生模塊、PWM模塊。這些功能模塊都由Actel公司提供的知識產(chǎn)權(quán)核(IP核)組成。在Coreconsole中,將各個模塊配置,通過模塊的總線接口與系統(tǒng)總線AHB,APB互聯(lián)。最終的結(jié)果如圖4所示。其中,右上角的信號連接為連接到頂層模塊的信號。

本文引用地址:http://butianyuan.cn/article/191770.htm


如圖4所示,其中CortexM1為微處理器核,負責處理采集到數(shù)據(jù),并產(chǎn)生相應的控制信號給外圍控制電路;CoreAHBNvm為控制FLASH的軟核,使系統(tǒng)上電即可運行,掉電不丟失;CoreAI為模擬輸入模塊,負責將外圍采集的模擬信號數(shù)據(jù)轉(zhuǎn)換為數(shù)字信號。交由處理器處理;Coreu- ARTapb為串口通行核,負責將處理的數(shù)據(jù)通過串口發(fā)送給PC,使其能實時交互和控制;Core- GPIO和CoreGPlO_01兩個核為通用I/O核,分別負責OLED的數(shù)據(jù)通信和外圍控制信號的輸出。
系統(tǒng)的外圍溫度超過上限報警和加熱模擬的電路如圖5所示。


3.2 軟件設計部分
系統(tǒng)主要功能是將系統(tǒng)采集到的模擬信號通過硬件轉(zhuǎn)換成數(shù)字信號,將監(jiān)測到的數(shù)據(jù)通過UART發(fā)送到PC的超級終端加以顯示出來;并且可在系統(tǒng)運行初始階段設置溫度的上、下限值,在超出溫度范圍時報警,且產(chǎn)生相應的控制信號進行加熱或者冷卻。各個模塊的運行由微處理器CortexM1進行協(xié)調(diào)。系統(tǒng)不斷監(jiān)測模擬輸入端,如果模擬輸入有變化,就進行A/D轉(zhuǎn)換,并將轉(zhuǎn)換后的結(jié)果發(fā)送給CortexM1;CortexM1再將接收到的結(jié)果在規(guī)定時間內(nèi)通過UART發(fā)送到PC的超級終端顯示和在系統(tǒng)配接的OLED屏上彩色顯示。
該設計的系統(tǒng)應用軟件是在Actel公司的SoftConsole開發(fā)平臺上完成的。由于程序比較復雜,可以分為幾個子程序,具體包括:對CoreUART,CoreAI,LED屏等的初始化配置,以及主要功能中CortexM1.c主程序的完成。系統(tǒng)流程圖如圖6所示。


4 結(jié) 語
經(jīng)實際調(diào)試與運行,超級終端上得到了監(jiān)測到的溫度值,并且能實現(xiàn)溫度超出范圍時報警和正??刂葡聦崿F(xiàn)加熱和冷卻的效果。該設計能實時測量并顯示溫度值,且精度高,反應快,達到了預期設計目標。


上一頁 1 2 3 4 下一頁

評論


相關推薦

技術專區(qū)

關閉