新聞中心

EEPW首頁 > 模擬技術(shù) > 設(shè)計應(yīng)用 > 基于FPGA的FIR數(shù)字濾波器設(shè)計方案(二)

基于FPGA的FIR數(shù)字濾波器設(shè)計方案(二)

作者: 時間:2013-11-04 來源:網(wǎng)絡(luò) 收藏
是用來對Simulink模型進行結(jié)構(gòu)化分析的[5]。獲得轉(zhuǎn)換好的VHDL描述后就可以調(diào)用 Verilog綜合器,這里我們選用Quartus Ⅱ,用來生成底層網(wǎng)表文件,同時也就可以得到其網(wǎng)表文件對應(yīng)的RTL電路圖。如圖9所示。

  基于FPGA的FIR數(shù)字濾波器設(shè)計方案(二)

  3.3 的ModelSim功能仿真

  ModelSim軟件可支持VHDL和Verilog混合仿真,無論是設(shè)計的RTL級和門級電路仿真,還是系統(tǒng)的功能仿真都可以用ModelSim來實現(xiàn)[4-5]。由Signal Com-piler生成的Verilog硬件描述語言模塊,在ModelSim中可以直接對Verilog代碼進行仿真,檢測源代碼是否符合功能要求。圖 10所示的16階的功能仿真結(jié)果圖。與圖8的Simulink仿真結(jié)果圖的波形一致,表明經(jīng)過轉(zhuǎn)換的Verilog源代碼可以實現(xiàn)正常的濾波功能。

  基于FPGA的FIR數(shù)字濾波器設(shè)計方案(二)

  3.4 數(shù)字濾波器的硬件實現(xiàn)

  數(shù)字濾波器一般是嵌入在采集器的采集板卡中進行工作的,把由數(shù)字濾波器的Verilog源代碼生成的模塊嵌入到采集板卡的邏輯中,如圖11所示。

  在Quartus Ⅱ環(huán)境下,數(shù)字濾波器的內(nèi)部邏輯經(jīng)過編譯適配之后,以。sof文件的形式直接加載到FPGA中。

  基于FPGA的FIR數(shù)字濾波器設(shè)計方案(二)

  4 FIR 數(shù)字濾波器的FPGA 實時測試

  進行實時測試的電路是應(yīng)用FPGA和USB的數(shù)據(jù)采集電路,如圖12所示。

  基于FPGA的FIR數(shù)字濾波器設(shè)計方案(二)

  測試時把信號發(fā)生器設(shè)置好的輸入信號輸入到A/D,采樣得到的數(shù)據(jù)經(jīng)過FPGA,再通過USB與PC機相連,應(yīng)用QuartusⅡ中的SignalTapⅡ工具進行實時檢測,結(jié)果如圖13所示,其中,上面的波形為輸入波形,頻率為200 Hz,下面的波形為輸出波形,由于200 Hz在低通的帶通內(nèi),所以兩者的波形相差不大。當輸入波形為頻率533 Hz時,由于是在截頻點,其輸出波形的幅值約為輸入波形幅值的71%,如圖13和14所示。

  基于FPGA的FIR數(shù)字濾波器設(shè)計方案(二)

  基于FPGA的FIR數(shù)字濾波器設(shè)計方案(二)

  5 結(jié)束

  FIR數(shù)字濾波器在數(shù)字信號處理領(lǐng)域有著廣泛的使用,本文通過仿真和實時驗證兩種方式實現(xiàn)了一種基于FPGA和DSP Builder的FIR數(shù)字濾波器。先根據(jù)FIR濾波器的基本原理和結(jié)構(gòu)框圖搭建了濾波器的模型,再根據(jù)濾波器的性能指標通過FDATool工具對其進行設(shè)計,并通過系統(tǒng)級仿真和ModelSim功能仿真進行了簡要的可行性分析,最后通過QuartusⅡ軟件對FIR數(shù)字濾波器進行實時驗證,表明本



關(guān)鍵詞: FPGA FIR 數(shù)字濾波器

評論


相關(guān)推薦

技術(shù)專區(qū)

關(guān)閉