新聞中心

EEPW首頁 > 嵌入式系統(tǒng) > 設(shè)計應(yīng)用 > 基于FPGA的鍵盤掃描模塊的設(shè)計與實(shí)現(xiàn)

基于FPGA的鍵盤掃描模塊的設(shè)計與實(shí)現(xiàn)

作者: 時間:2008-02-19 來源: 收藏

  在電子產(chǎn)品中,鍵盤是最基本的輸入設(shè)備,然而在應(yīng)用中都采用通用的鍵盤掃描器件是不現(xiàn)實(shí)的,需要單獨(dú)設(shè)計成專用的小鍵盤?,F(xiàn)代EDA(電子設(shè)計自動化)技術(shù)提供了一種很好的途徑,利用VHDL硬件描述語言和器件可以很方便地構(gòu)建鍵盤掃描模塊。經(jīng)過實(shí)際操作檢驗(yàn),該模塊可以很好地對每一次按鍵動作進(jìn)行掃描和響應(yīng),實(shí)現(xiàn)預(yù)先設(shè)計的功能。

本文引用地址:http://butianyuan.cn/article/78984.htm

  1 概述

  1.1 通用鍵盤和專用鍵盤

  在現(xiàn)代個人計算機(jī)系統(tǒng)中,一般都采用通用的標(biāo)準(zhǔn)鍵盤(如:標(biāo)準(zhǔn)101/102鍵盤或Microsoft自然PS/2鍵盤)來實(shí)現(xiàn)人與計算機(jī)之間的接口交互,所需要的各種數(shù)據(jù)和指令等信息都通過鍵盤來輸入計算機(jī)。

  但是,在各種嵌入式系統(tǒng)(如手機(jī)、微波爐、電風(fēng)扇等)中,所需要的鍵盤按鍵個數(shù)非常有限,通常為幾個到十幾個不等(而標(biāo)準(zhǔn)鍵盤通常為一百多個按鍵),并且每個按鍵所代表的功能含義也各不相同。所以,針對每一種嵌入式系統(tǒng)都應(yīng)對鍵盤(包括鍵盤掃描模塊和相關(guān)控制信號等)進(jìn)行專門設(shè)計,結(jié)合工程實(shí)際情況充分利用該系統(tǒng)已有的各種資源,使所設(shè)計的鍵盤恰如其分地融合到嵌入式系統(tǒng)中,成為其不可分割的一部分。

  1.2 編碼鍵盤和掃描鍵盤

  在數(shù)字電路中,可以利用編碼器實(shí)現(xiàn)按鍵鍵值的直接編碼。將每個按鍵的輸出信號對應(yīng)連接到編碼器的每個輸入端,通過編碼邏輯就可以在編碼器的輸出端得到對應(yīng)每個按鍵的碼值,早期稱這種鍵盤為編碼鍵盤。但是,當(dāng)按鍵較多時數(shù)碼邏輯的成本較高,直接編碼的方法也不夠靈括,一旦編碼邏輯固定就難以更改。

  在通用鍵盤上或當(dāng)按鍵數(shù)量較多時,普遍采用掃描方式產(chǎn)生鍵值。將按鍵連接成矩陣,每個按鍵位于某行、某列的交點(diǎn)上,如圖1所示,先通過掃描方式確定按下鍵的行和列位,即位置碼或掃描碼。再查表將位置碼轉(zhuǎn)換為按鍵碼值或者直接使用掃描碼,有些參考書稱此為“非編碼鍵盤”。但這種名稱容易讓人誤解為沒有對應(yīng)的鍵值,因此又稱為掃描式鍵盤。

  

掃描式鍵盤

 

  1.3 硬件掃描鍵盤與軟件掃描鍵盤

  如果執(zhí)行掃描的過程由硬件邏輯實(shí)現(xiàn),則這種鍵盤稱為硬件掃描鍵盤或電子掃描式編碼鍵盤。在執(zhí)行鍵盤掃描時應(yīng)注意將鍵在閉合過程中往往會有一些難以避免的機(jī)械性抖動,使輸出信號也發(fā)生抖動,通常達(dá)10 ms~20 ms寬。若不避開抖動區(qū),則可能誤認(rèn)為多次按鍵。因此應(yīng)該設(shè)置硬件延時電路,延遲數(shù)十毫秒后才讀取鍵值,這種電路稱為去抖電路。還應(yīng)注意當(dāng)前一個鍵值還未送出又有按鍵按下時,后邊的鍵值將覆蓋前邊的鍵值,從而造成丟失。通??梢栽O(shè)置一個控制信號,使前一鍵值送出后才允許產(chǎn)生后一鍵值,或者設(shè)置一組寄存器保存前邊若干個鍵值,等待系統(tǒng)逐個按序處理。

  硬件掃描鍵盤的優(yōu)點(diǎn)是不需要主機(jī)擔(dān)負(fù)掃描任務(wù),僅當(dāng)產(chǎn)生鍵值后才向主機(jī)發(fā)出中斷請求,CPU以相應(yīng)中斷方式接收按鍵鍵值,或者CPU定時從某個地址獲取按鍵鍵值。這種方式大大減輕了CPU的運(yùn)行負(fù)荷,使其有更多的時間段去運(yùn)行其他應(yīng)用程序。

  當(dāng)然也可以執(zhí)行鍵盤掃描程序,由CPU通過軟件方法對鍵盤進(jìn)行掃描,鍵盤掃描程序的流程如圖2所示。這種鍵盤被稱為軟件掃描鍵盤。按鍵時,鍵盤向主機(jī)提出中斷請求,由軟件掃描鍵盤獲得按鍵鍵值,或者由CPU定期執(zhí)行鍵盤掃描程序,從而獲得按鍵鍵值,這種掃描方法被稱為逐行掃描法,當(dāng)有鍵按下時首先獲得此鍵的列值,然后逐行掃描就可以判斷按鍵所在的行值,由行、列值轉(zhuǎn)換到按鍵鍵值。當(dāng)然,可以在執(zhí)行鍵盤掃描的過程中加入一定的延時,以去除抖動所帶來的影響。

  

鍵盤掃描程序的流程

 

  如果系統(tǒng)對CPU的運(yùn)行速度要求較高,并且CPU的負(fù)荷較重,系統(tǒng)資源比較緊張,則可以在鍵盤中設(shè)置一個單片機(jī),由單片機(jī)執(zhí)行鍵盤掃描程序,然后向CPU申請中斷并送出掃描碼或者鍵值?,F(xiàn)代計算機(jī)的通用鍵盤大多采用這樣的鍵盤掃描方法。

  2 基于的實(shí)現(xiàn)方法

  2.1 實(shí)現(xiàn)方法分析

  根據(jù)項目的實(shí)際需要,擬實(shí)現(xiàn)的鍵盤掃描模塊應(yīng)具有如下特點(diǎn):

  該模塊實(shí)時地將掃描所得的鍵值信息寫入存儲器指定地址,鍵值信息包括同一按鍵的重復(fù)次數(shù)和鍵值,系統(tǒng)軟件定時從該地址讀取鍵值信息以執(zhí)行相應(yīng)的操作。

  鍵盤去抖動的方法是多次掃描法,當(dāng)連續(xù)幾次掃描到同一鍵值時就認(rèn)為此鍵被按下,這樣就完成了去抖動操作。

  由于該項目不需要ASCⅡ字符編碼按鍵,故將按鍵值設(shè)計為1~20直接送出。

  為了能表示長時間按鍵的操作,當(dāng)確定某一按鍵按下時,以后每隔一定時間才掃描一次,若獲得同一鍵值,則將按鍵重復(fù)次數(shù)加一,同時將重復(fù)次數(shù)和鍵值組合成鍵值信息送出;延遲一定時間后再次掃描。

  2.2 具體實(shí)現(xiàn)

  該設(shè)計采用4個模塊來實(shí)現(xiàn)鍵盤掃描功能,分別為即時掃描模塊、掃描控制模塊、掃描脈沖模塊和鍵值傳送模塊,如圖3所示。

  

實(shí)現(xiàn)鍵盤掃描的功能模塊

 

  2.2.1 即時掃描模塊

  該模塊完成鍵盤即時掃描功能,當(dāng)檢測到SCAN信號有一個窄脈沖到來時,模塊內(nèi)部將產(chǎn)生一組相應(yīng)的KB_OUT信號輸出到鍵盤矩陣,然后對KB_IN輸入信號進(jìn)行檢測,以判斷有無鍵被按下及哪個鍵被按下,并送出相應(yīng)的即時鍵值VALUE。

  2.2.2 掃描控制模塊

  該模塊的功能是控制是否允許進(jìn)行掃描及完成鍵盤去抖動等。在無鍵按下時.CTRL置高電平允許掃描;當(dāng)連續(xù)幾次掃描到同一個按鍵時,則認(rèn)為此鍵被按下(已經(jīng)完成去抖動操作)。然后CTRL置低電平,禁止掃描并同時開始延時,當(dāng)CNT達(dá)到某一數(shù)值時,重新進(jìn)行掃描并再次延時,同時將按鍵重復(fù)次數(shù)和鍵值VALUE組合成KEYVALUES并輸出。以此類推,直到無鍵按下或檢測到其他的鍵值。

  2.2.3 掃描脈沖模塊

  該模塊的主要功能為產(chǎn)生掃描指示窄脈沖和掃描延時計數(shù)。當(dāng)CTRL為高電平時,在每個CLK為50Hz的上升沿送出一個SCAN窄脈沖;當(dāng)CTRL為低電平時,延時計數(shù)器開始計數(shù),達(dá)到某一特定值時才送出一次掃描脈沖信號SCAN。

  2.2.4 鍵值傳送模塊

  該模塊負(fù)責(zé)把鍵值信息寫入存儲器,供應(yīng)用軟件定時查詢。當(dāng)輸入端KEYVALUES的值發(fā)生改變時,才會發(fā)起一次存儲器寫操作。

  3 結(jié)束語

  此模塊用VHDL硬件描述語言來實(shí)現(xiàn),經(jīng)過功能、時序仿真后利用QUARTUS Ⅱ軟件進(jìn)行編譯并下載到Altera的CYCLONE 1C20開發(fā)板上。經(jīng)過對鍵盤的實(shí)際操作檢驗(yàn),證明此模塊可以正常實(shí)現(xiàn)鍵盤掃描和去抖動等功能,能正確地識別每一個按鍵的動作。同時,若對該模塊稍加修改同樣可以移植到其他的系統(tǒng)中,這樣可以大大減輕CPU的運(yùn)行負(fù)荷,這對大多數(shù)對系統(tǒng)資源比較敏感的嵌入式系統(tǒng)來說具有很強(qiáng)的現(xiàn)實(shí)意義。



關(guān)鍵詞: FPGA

評論


相關(guān)推薦

技術(shù)專區(qū)

關(guān)閉