首頁(yè)  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會(huì)展  EETV  百科   問(wèn)答  電路圖  工程師手冊(cè)   Datasheet  100例   活動(dòng)中心  E周刊閱讀   樣片申請(qǐng)
EEPW首頁(yè) >> 主題列表 >> 3nm

領(lǐng)先蘋(píng)果!Intel 3nm處理器曝光 性能提升10~15%

  • 近日,據(jù)最新消息顯示,臺(tái)積電供應(yīng)鏈透露,Intel將領(lǐng)先蘋(píng)果,率先采用臺(tái)積電3nm制程生產(chǎn)繪圖芯片、服務(wù)器處理器。同時(shí),報(bào)告中還顯示,明年Q2開(kāi)始在臺(tái)積電18b廠投片,明年7月量產(chǎn),實(shí)際量產(chǎn)時(shí)間較原計(jì)劃提早一年。    在此之前就有消息稱(chēng),Intel已經(jīng)規(guī)劃了至少兩款基于臺(tái)積電3nm工藝的芯片產(chǎn)品,分別是筆記本CPU和服務(wù)器CPU,最快2022年底投入量產(chǎn)。按照臺(tái)積電之前的說(shuō)法,相較于5nm,3nm工藝性能提升10~15%,功耗降低了25~30%。    除此之外,
  • 關(guān)鍵字: Intel  3nm  處理器  

蘋(píng)果A16處理器或不再首發(fā)臺(tái)積電3nm工藝

  • 我們知道,蘋(píng)果的A系列處理器一般來(lái)說(shuō)都是每年首發(fā)臺(tái)積電最新工藝,不過(guò),根據(jù)爆料,在3nm節(jié)點(diǎn)上,蘋(píng)果的A16處理器或不再首發(fā)跟進(jìn),會(huì)繼續(xù)用5nm改進(jìn)的4nm工藝,3nm處理器可能會(huì)在iPad上首發(fā)。另一方面,臺(tái)積電的3nm工藝(代號(hào)N3)也確實(shí)跳票了,此前的財(cái)報(bào)會(huì)上,臺(tái)積電表示,與5nm和7nm相比,3nm確實(shí)有3-4個(gè)月的延遲。臺(tái)積電稱(chēng),事實(shí)上3nm工藝無(wú)論在客戶(hù)產(chǎn)品設(shè)計(jì)還是加工工藝上都很復(fù)雜,他們也在與客戶(hù)密切溝通以最好地滿(mǎn)足他們的需求。據(jù)了解,N3計(jì)劃2021年進(jìn)行風(fēng)險(xiǎn)生產(chǎn),2022年下半年開(kāi)始量產(chǎn)
  • 關(guān)鍵字: 蘋(píng)果  A16  臺(tái)積電  3nm  

三星3nm工藝正式發(fā)流片:采用GAA架構(gòu)

  •   據(jù)外媒報(bào)道,三星宣布3nm工藝技術(shù)已正式發(fā)流片。據(jù)報(bào)道,三星的3mm工藝采用GAA架構(gòu),性能優(yōu)于臺(tái)積電的3nm FinFET架構(gòu)?! ?bào)告稱(chēng),三星在3納米工藝中的流片進(jìn)展是與新思科技合作完成的,旨在加快為GAA架構(gòu)的生產(chǎn)工藝提供高度優(yōu)化的參考方法。三星的3nm工藝采用GAA結(jié)構(gòu),而不是臺(tái)積電或英特爾采用的FinFET結(jié)構(gòu)。因此,三星采用新思科技的Fusion Design Platform。  在技術(shù)性能方面,基于GAA架構(gòu)的晶體管可以提供比FinFET更好的靜電特性,可以滿(mǎn)足一定柵極寬度的要求。這主
  • 關(guān)鍵字: 三星  3nm    

3nm工藝太貴 臺(tái)積電被投行看衰:失去關(guān)鍵優(yōu)勢(shì)

  •   作為全球第一大晶圓代工廠,尤其是率先量產(chǎn)了先進(jìn)的7nm、5nm工藝之后,臺(tái)積電已經(jīng)成為影響全球半導(dǎo)體產(chǎn)業(yè)的重要一環(huán)。接下來(lái)臺(tái)積電還會(huì)量產(chǎn)3nm工藝,然而海外投資者這時(shí)候看衰臺(tái)積電,認(rèn)為3nm節(jié)點(diǎn)太貴,臺(tái)積電將失去關(guān)鍵優(yōu)勢(shì)。  臺(tái)積電現(xiàn)在業(yè)績(jī)正佳,不少投行都是看好未來(lái)的,但也有投行發(fā)表了相反的看法,認(rèn)為臺(tái)積電被高估了,將其股價(jià)評(píng)級(jí)為中性,目標(biāo)股價(jià)下調(diào)到580新臺(tái)幣,比其他同行的目標(biāo)價(jià)少了20%左右?! ∨_(tái)積電被看衰的一個(gè)重要因素就是3nm工藝,原來(lái)臺(tái)積電預(yù)計(jì)2022年量產(chǎn)3nm工藝,最近有傳聞?wù)f是會(huì)延期
  • 關(guān)鍵字: 晶圓廠  臺(tái)積電  3nm    

揭秘3nm/2nm工藝的新一代晶體管結(jié)構(gòu)

  • GAA FET將取代FinFET,但過(guò)渡的過(guò)程將是困難且昂貴的。
  • 關(guān)鍵字: 3nm FinFET  GAA FET  晶體管  

曝華為正研發(fā)3nm芯片:麒麟9010正在設(shè)計(jì)

  • 相關(guān)數(shù)據(jù)表明,近日華為技術(shù)有限公司申請(qǐng)注冊(cè)“麒麟處理器”商標(biāo),申請(qǐng)時(shí)間為上個(gè)月22日,目前狀態(tài)為“注冊(cè)申請(qǐng)中”。華為的芯片并沒(méi)有停止研發(fā),而是緊鑼密鼓的設(shè)計(jì)中,等待著機(jī)會(huì)卷土重來(lái)。華為徐直軍前不久也表示稱(chēng),海思的任何芯片現(xiàn)在沒(méi)有地方加工,作為華為的芯片設(shè)計(jì)部分,它并非追求盈利公司,但華為對(duì)其沒(méi)有盈利訴求,隊(duì)伍將會(huì)一直持續(xù)的存在。目前海思依然不斷做研究,繼續(xù)開(kāi)發(fā)、繼續(xù)積累,為未來(lái)做些準(zhǔn)備。而更加重磅的消息是,華為的最新3nm芯片已經(jīng)開(kāi)始研發(fā)和設(shè)計(jì)了,最終命名為麒麟9010,然而從制造廠來(lái)看,目前臺(tái)積電的3n
  • 關(guān)鍵字: 華為  3nm  麒麟9010  

臺(tái)積電最新進(jìn)展:2nm正在開(kāi)發(fā) 3nm和4nm將在明年面世

  • 全球最大的晶圓代工廠,擁有近500個(gè)客戶(hù),這就是他們的獨(dú)特之處。一方面,公司幾乎可以為提出任何需求的所有客戶(hù)提供服務(wù);另一方面,就容量和技術(shù)而言,他們必須領(lǐng)先于其他任何人;就產(chǎn)能而言,臺(tái)積電(TSMC)是不接受任何挑戰(zhàn),而且未來(lái)幾年也不會(huì)臺(tái)積電今年300億美元的資本預(yù)算中,約有80%將用于擴(kuò)展先進(jìn)技術(shù)的產(chǎn)能,例如3nm,4nm / 5nm和6nm / 7nm。分析師認(rèn)為,到今年年底,先進(jìn)節(jié)點(diǎn)上的大部分資金將用于將臺(tái)積電的N5產(chǎn)能擴(kuò)大,擴(kuò)大后的產(chǎn)能將提到至每月110,000?120,000個(gè)晶圓啟動(dòng)(WSP
  • 關(guān)鍵字: 2nm  3nm  晶圓  代工  

華為“捷足先登”?英特爾新技術(shù)突破3nm限制,華為早就提出過(guò)

  • 近十年以來(lái),隨著互聯(lián)網(wǎng)技術(shù)的快速更新和以智能手機(jī)為代表的智能化產(chǎn)品的快速普及,芯片作為其中的關(guān)鍵材料,同樣迎來(lái)了發(fā)展的高速期,成功從昔日的100nm工藝制程發(fā)展到5nm工藝制程,這一速度令所有人驚訝。然而5nm并不是人們追求的最終目標(biāo)。就目前而言,5nm芯片雖然在性能上有著卓越的表現(xiàn),但距離理想狀態(tài)還是有著一定的差距,人們也在此基礎(chǔ)上對(duì)其進(jìn)一步研發(fā),以提高芯片工藝制程水平,讓芯片為未來(lái)的智能化產(chǎn)品提供更為優(yōu)良的基礎(chǔ)。前不久,三星就成功展示了自己已經(jīng)出具成果的3nm芯片,臺(tái)積電之后肯定會(huì)緊隨其后。毫無(wú)疑問(wèn),
  • 關(guān)鍵字: 華為  英特爾  3nm  

三星率先發(fā)布3nm芯片,日本歐盟正在發(fā)力攻克2nm

  • 關(guān)于芯片,大多數(shù)小伙伴了解到的,當(dāng)下最先進(jìn)的生產(chǎn)工藝是5nm,當(dāng)然也一直流傳臺(tái)積電正在研發(fā)3納米,甚至2納米的生產(chǎn)工藝。其中臺(tái)積電一直在技術(shù)上處于領(lǐng)先地位,可誰(shuí)也沒(méi)想到的是,三星率先發(fā)布了3nm芯片。在剛剛過(guò)去不久的IEEE ISSCC國(guó)際固態(tài)電路大會(huì)上,三星首發(fā)應(yīng)用3nm工藝制造的SRAM存儲(chǔ)芯片,將半導(dǎo)體工藝再度推上一個(gè)進(jìn)程,國(guó)際固態(tài)電路大會(huì)我在之前的視頻里提到過(guò)好多次,是世界最權(quán)威的行業(yè)會(huì)議,所以這也證明的三星的技術(shù)實(shí)力真的很強(qiáng)。而我國(guó)目前在生產(chǎn)工藝上,最厲害的中芯國(guó)際也只停留在今年可以實(shí)現(xiàn)7nm試
  • 關(guān)鍵字: 三星  3nm  

臺(tái)積電 3nm 制程本月已試產(chǎn),量產(chǎn)時(shí)程將提前

  • 3月30日消息去年 8 月,臺(tái)積電總裁魏哲家在臺(tái)積電技術(shù)論壇上表示,3nm 預(yù)計(jì) 2021 年試產(chǎn),將于 2022 年下半年量產(chǎn)?! ?jù)財(cái)聯(lián)社,供應(yīng)鏈消息傳出,臺(tái)積電 3nm 制程進(jìn)展順利,試產(chǎn)進(jìn)度優(yōu)于預(yù)期,已于 3 月開(kāi)始風(fēng)險(xiǎn)性試產(chǎn)并小量交貨。  臺(tái)積電對(duì)此消息回應(yīng)稱(chēng),不評(píng)論市場(chǎng)傳聞。    IT之家了解到,臺(tái)積電董事長(zhǎng)劉德音此前透露 3nm 按計(jì)劃時(shí)程發(fā)展,進(jìn)度甚至較原先預(yù)期超前?! ?jù)臺(tái)媒Digitimes 此前報(bào)道,臺(tái)積電隸屬于 5nm 家族的 4nm 制程,原本預(yù)計(jì) 2021 年第四季度試產(chǎn),2
  • 關(guān)鍵字: 臺(tái)積電  3nm  

臺(tái)積電和蘋(píng)果合作致力2nm工藝開(kāi)發(fā),傳聞3nm芯片訂單勢(shì)頭強(qiáng)勁

  • 近期臺(tái)積電(TSMC)和蘋(píng)果更緊密和高效的合作,使得研發(fā)上取得了多項(xiàng)突破?! ∧壳笆謾C(jī)開(kāi)始大量使用基于5nm工藝制造的芯片,即將推出的A15 Bionic預(yù)計(jì)將使用更先進(jìn)的N5P節(jié)點(diǎn)工藝制造,預(yù)計(jì)蘋(píng)果將在2021年占據(jù)臺(tái)積電80%的5nm產(chǎn)能。不過(guò)臺(tái)積電很快將向3nm工藝推進(jìn),并且進(jìn)一步到2nm工藝,這都只是時(shí)間問(wèn)題?!   ?jù)Wccftech報(bào)道,為了更好地達(dá)成這些目標(biāo),臺(tái)積電和蘋(píng)果已聯(lián)手推動(dòng)芯片的開(kāi)發(fā)工作,將硅片發(fā)展推向極限。臺(tái)積電和蘋(píng)果都為了同一個(gè)目標(biāo)而努力,不過(guò)受益者可能不只是蘋(píng)果,還有英特爾。臺(tái)
  • 關(guān)鍵字: 臺(tái)積電  蘋(píng)果  2nm  3nm  

臺(tái)積電今年提前投產(chǎn)3nm:Intel也要用!

  • 在新制程工藝推進(jìn)速度上,臺(tái)積電已經(jīng)徹底無(wú)敵,Intel、三星都已經(jīng)望塵莫及。據(jù)最新消息,臺(tái)積電將在今年下半年提前投產(chǎn)3nm工藝,雖然只是風(fēng)險(xiǎn)性試產(chǎn)和小規(guī)模量產(chǎn),但也具有里程碑式的意義。很自然的,臺(tái)積電會(huì)在明年大規(guī)模量產(chǎn)3nm,初期產(chǎn)能每月大約3萬(wàn)塊晶圓,到了2023年可達(dá)每月10.5萬(wàn)塊晶圓,趕上目前5nm的產(chǎn)能,而后者在去年第四季度的產(chǎn)能為每月9萬(wàn)塊晶圓。根據(jù)臺(tái)積電數(shù)據(jù),3nm雖然繼續(xù)使用FinFET晶體管,但是相比于5nm晶體管密度增加70%,性能可提升11%,或者功耗可降低27%。據(jù)悉,蘋(píng)果將是臺(tái)積
  • 關(guān)鍵字: 臺(tái)積電  3nm  Intel  

消息稱(chēng)臺(tái)積電將量產(chǎn)3nm芯片:性能、功耗大幅優(yōu)于5nm

  • 據(jù)外媒最新消息稱(chēng),臺(tái)積電有望在2022年下半年開(kāi)始啟用3nm制造工藝,屆時(shí)該晶圓廠將有能力處理3萬(wàn)片使用更先進(jìn)技術(shù)打造的晶圓。據(jù)報(bào)道,得益于蘋(píng)果的訂單承諾,臺(tái)積電計(jì)劃在2022年將3nm工藝的月產(chǎn)能擴(kuò)大到5.5萬(wàn)片,并將在2023年進(jìn)一步擴(kuò)大產(chǎn)量至10.5萬(wàn)片。3nm工藝比5nm工藝的功耗和性能分別提升30%和15%。臺(tái)積電計(jì)劃在今年全年擴(kuò)大5nm工藝的制造能力,以滿(mǎn)足主要客戶(hù)日益增長(zhǎng)的需求。根據(jù)今天的報(bào)告,臺(tái)積電將在2021年上半年將規(guī)模從2020年第四季度的9萬(wàn)片提升至每月10.5萬(wàn)片,并計(jì)劃在今年下
  • 關(guān)鍵字: 臺(tái)積電  3nm  

5nm?3nm?芯片制程的極限究竟在哪里?

  • 現(xiàn)在的集成電路制造技術(shù)其核心就是光刻技術(shù),這種方法與照相類(lèi)似,就是將掩模版上的圖形轉(zhuǎn)移到涂有光致抗蝕劑(或稱(chēng)光刻膠)的硅片上。
  • 關(guān)鍵字: 5nm  3nm  芯片制程  

CES上演芯片競(jìng)賽 英特爾CEO司睿博:我們不是守成派

  • 巨頭們進(jìn)一步更新自家產(chǎn)品線(xiàn)?! ?月11-14日,CES消費(fèi)電子展在線(xiàn)上拉開(kāi)2021年科技界的序幕,除了機(jī)器人、可穿戴、未來(lái)電視等終端產(chǎn)品之外,核心的芯片廠商也亮出大招,展開(kāi)新一代處理器的軍備競(jìng)賽?! ∑渲校⑻貭栐贑ES發(fā)布會(huì)上猛秀技術(shù)肌肉,推出了四大全新處理器家族,主要面向PC端的商用、教育、移動(dòng)和游戲計(jì)算領(lǐng)域,一共涉及50多款處理器產(chǎn)品,并將在2021年推出500多款全新的筆記本電腦和臺(tái)式機(jī)。其中就包括第11代酷睿S系列臺(tái)式機(jī)處理器(代號(hào) “Rocket Lake-S”)及其下一代處理器(代號(hào)
  • 關(guān)鍵字: 臺(tái)積電  3nm  英特爾  
共148條 7/10 |‹ « 1 2 3 4 5 6 7 8 9 10 »
關(guān)于我們 - 廣告服務(wù) - 企業(yè)會(huì)員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國(guó)際技術(shù)信息咨詢(xún)有限公司
備案 京ICP備12027778號(hào)-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473