首頁(yè)  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會(huì)展  EETV  百科   問答  電路圖  工程師手冊(cè)   Datasheet  100例   活動(dòng)中心  E周刊閱讀   樣片申請(qǐng)
EEPW首頁(yè) >> 主題列表 >> emib

英特爾代工合作伙伴為EMIB先進(jìn)封裝技術(shù)提供參考流程

  • 在摩爾定律的旅程中,先進(jìn)封裝技術(shù)正發(fā)揮著越來越重要的作用,通過堆疊技術(shù)的創(chuàng)新,可以在單個(gè)設(shè)備中集成更多的晶體管。目前的大多數(shù)芯片都采用了異構(gòu)架構(gòu)設(shè)計(jì),先進(jìn)封裝技術(shù)也讓設(shè)備中采用不同制程技術(shù)、來自不同廠商、執(zhí)行不同功能的芯粒能夠在一起妥善工作,從而提高性能并降低功耗。EMIB(嵌入式多芯片互連橋接)是英特爾的一種2.5D先進(jìn)封裝技術(shù),支持把不同的芯片放在同一塊平面上相互連接。傳統(tǒng)的2.5D封裝是在芯片和基板間的硅中介層上進(jìn)行布線,EMIB則是通過一個(gè)嵌入基板內(nèi)部的單獨(dú)芯片完成互連。作為一種高成本效益的方法,
  • 關(guān)鍵字: 英特爾  代工  EMIB  封裝  

英特爾EMIB技術(shù)助力實(shí)現(xiàn)芯片間互連互通

  • 當(dāng)今智能手機(jī)、電腦和服務(wù)器中的大多數(shù)芯片都是由多個(gè)較小芯片密封在一個(gè)矩形封裝中來組成的。這些通常而言包括CPU、圖形卡、內(nèi)存、IO等在內(nèi)的更多芯片是如何進(jìn)行通信的?一種被稱為EMIB(嵌入式多芯片互連橋接)的英特爾創(chuàng)新技術(shù)將揭曉答案。它是一種比一粒米還小的復(fù)雜多層薄硅片,可以讓相鄰芯片以驚人的速度來回傳輸大量數(shù)據(jù),高達(dá)每秒數(shù)GB。當(dāng)前,英特爾EMIB加速了全球近100萬(wàn)臺(tái)筆記本電腦和FPGA(現(xiàn)場(chǎng)可編程門陣列)設(shè)備之中的數(shù)據(jù)流。隨著EMIB技術(shù)更加主流化,這個(gè)數(shù)字將很快飆升,并覆蓋更多產(chǎn)品。例如英特爾于1
  • 關(guān)鍵字: 英特爾  EMIB  

英特爾發(fā)布最新的 EMIB 技術(shù):降低四倍的傳輸延遲?

  •   在競(jìng)爭(zhēng)對(duì)手包括臺(tái)積電、三星、格羅方德等不但陸續(xù)宣布在 10 奈米制程進(jìn)行量產(chǎn)之外,還持續(xù)布局 7 奈米制程,甚至更先進(jìn)的 5 奈米、3 奈米制程。 反觀半導(dǎo)體龍頭英特爾 (Intel) 對(duì)每一代處理器的性能提升被認(rèn)為是“擠牙膏”,甚至在第 8 代處理器的制程上仍沿用 14 奈米制程,讓大家懷疑英特爾在制程技術(shù)上的進(jìn)展。 而為了破除這樣的想法,日前英特爾發(fā)布了最新的EMIB 技術(shù),以證明自己在處理器生產(chǎn)技術(shù)上依舊領(lǐng)先的地位。   根據(jù)英特爾在 28 日于美國(guó)舊金山舉行的 Int
  • 關(guān)鍵字: 英特爾  EMIB   
共3條 1/1 1

emib介紹

您好,目前還沒有人創(chuàng)建詞條emib!
歡迎您創(chuàng)建該詞條,闡述對(duì)emib的理解,并與今后在此搜索emib的朋友們分享。    創(chuàng)建詞條

熱門主題

樹莓派    linux   
關(guān)于我們 - 廣告服務(wù) - 企業(yè)會(huì)員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國(guó)際技術(shù)信息咨詢有限公司
備案 京ICP備12027778號(hào)-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473