首頁  資訊  商機   下載  拆解   高校  招聘   雜志  會展  EETV  百科   問答  電路圖  工程師手冊   Datasheet  100例   活動中心  E周刊閱讀   樣片申請
EEPW首頁 >> 主題列表 >> avant fpga

基于MicroBlaze嵌入式Web服務(wù)器設(shè)計

  •   1 引言   由于Internet技術(shù)的滲透,嵌入式系統(tǒng)正變得越來越智能化并具有越來越多的網(wǎng)絡(luò)友好特性。Web技術(shù)的飛速發(fā)展,給嵌入式系統(tǒng)進(jìn)入Internet提供絕佳的途徑。在現(xiàn)場儀表和企業(yè)設(shè)備層應(yīng)用嵌入式技術(shù)是企業(yè)監(jiān)控系統(tǒng)的發(fā)展趨勢。與現(xiàn)場總線技術(shù)相比,嵌入式技術(shù)不僅為開發(fā)者提供了大量的工具和函數(shù)庫,而且減少了傳統(tǒng)的客戶端,減少了二次開發(fā)的工作量;而把嵌入式技術(shù)和Internet技術(shù)結(jié)合起來,使得整個工控網(wǎng)絡(luò)易于和Internet實現(xiàn)無縫連接;現(xiàn)在多數(shù)企業(yè)控制網(wǎng)絡(luò)是通過專用線路進(jìn)行數(shù)據(jù)通信,其通信
  • 關(guān)鍵字: MicroBlaze  Xilinx  FPGA  

基于MicroBlaze軟核的液晶驅(qū)動程序設(shè)計

  •   1 MicroBlaze的體系結(jié)構(gòu)   MicroBlaze采用功能強大的32位流水線結(jié)構(gòu),包含32個32位通用寄存器和1個可選的32位移位器,時鐘頻率可達(dá)150 MHz;在Virrex一4 FPGA上運行速率高達(dá)120 DMIPS,僅占用Virtex—II Pro FPGA中的950個邏輯單元。MicroBlaze軟核的結(jié)構(gòu)框圖如圖1所示。它具有以下基本特征:  ?、?2個32位通用寄存器和2個專用寄存器(程序計數(shù)器和狀態(tài)標(biāo)志寄存器)。  ?、?2位指令系統(tǒng),支持3個操作數(shù)和2種尋
  • 關(guān)鍵字: MicroBlaze  GPIO  FPGA  

Microblaze在RFID閱讀器的軟硬件設(shè)計中的應(yīng)用

  •   引 言   RFID 技術(shù)是從 20 世紀(jì) 80 年代走向成熟的一項自動識別技術(shù),近年來發(fā)展十分迅速。 目前,在全世界,基于 RFID 技術(shù)的電子標(biāo)簽,使用已經(jīng) 非常廣泛了,這主要取決于它的特性,RFID 標(biāo)簽可以使用在幾乎所有的物理對象上。RFID 技術(shù)在 工業(yè)自動化,物體跟蹤,交通運輸控制管理,防偽校園卡,電子錢包,行李標(biāo)簽,收費系統(tǒng),醫(yī)用裝 置,電子物品的監(jiān)控和軍事用途等方面已經(jīng)得到了廣泛的應(yīng)用。例如第二代居民身份證,使用基于 ISO/IEC4443-B 標(biāo)準(zhǔn)的 13.56 MHz 電子標(biāo)簽,
  • 關(guān)鍵字: Microblaze  RFID閱讀器  FPGA   FIFO   

基于SOPC的通用型JTAG調(diào)試器的設(shè)計

  •   SOPC技術(shù)的發(fā)展,給仿真器指出了新的發(fā)展方向。所謂SOPC技術(shù),就是指用可編程技術(shù)將整個系統(tǒng)放在一塊硅片上。在傳統(tǒng)設(shè)計中電路級相互獨立的各個系統(tǒng)被集成到一塊FPGA芯片中。   SOPC的可重用性是一種先進(jìn)的設(shè)計思想。為了降低用戶的負(fù)擔(dān),避免重復(fù)勞動,將一些在數(shù)字電路中常用但比較復(fù)雜的功能模塊,比如SDRAM控制器等,設(shè)計成可修改參數(shù)的模塊,用戶在設(shè)計系統(tǒng)時可以直接調(diào)用這些模塊。這些特定的功能模塊被稱為IPcore(知識產(chǎn)權(quán)核)。由于IPcore通常是很成熟的,因此降低了開發(fā)風(fēng)險。   本文利用
  • 關(guān)鍵字: SOPC  JTAG  FPGA  

基于Flash和JTAG接口的FPGA多配置系統(tǒng)

  •   引言   針對需要切換多個FPGA配置碼流的場合, Xilinx公司提出了一種名為System ACE的解決方案,它利用CF(Compact Flash)存儲卡來替代配置用PROM,用專門的ACE控制芯片完成CF卡的讀寫,上位機軟件生成專用的ACE文件并下載到CF存儲卡中,上電后通過ACE控制芯片實現(xiàn)不同配置碼流間的切換[1]。   System ACE的解決方案需要購買CF存儲卡和專用的ACE控制芯片,增加了系統(tǒng)搭建成本和耗費了更多空間,而且該方案只能實現(xiàn)最多8個配置文件的切換,在面對更多個配置
  • 關(guān)鍵字: Flash  JTAG  FPGA  

【從零開始走進(jìn)FPGA】前言:哪些人適合做FPGA開發(fā)?

  •   “FPGA目前非常火,各個高校也開了FPGA的課程,但是FPGA并不是每個人都適合,F(xiàn)PGA講究的是一個入道,入什么道,入電子設(shè)計的道,就是說,這個過程,你得從電子設(shè)計開始,然后再學(xué)FPGA,而不是先從VHDL/Verilog開始,直接跳過數(shù)電模電。這一點非常重要,這涉及到你以后的發(fā)展高度的問題。我是過來人,我深刻體會到FPGA與數(shù)電模電的基礎(chǔ)的深層次聯(lián)系。對于本科生而言,你可以把FPGA當(dāng)作業(yè)余興趣,但不要把它當(dāng)成今后的飯碗,你可以保持這個興趣直到研究生讀完。從我招聘的情況來看,做FPG
  • 關(guān)鍵字: FPGA  Verilog  SRAM  

奧迪在量產(chǎn)車中選用Altera SoC FPGA,實現(xiàn)“導(dǎo)航駕駛”功能

  •   Altera公司今天宣布,奧迪的高級輔助駕駛系統(tǒng)(ADAS)選用其SoC現(xiàn)場可編程門陣列(FPGA),實現(xiàn)量產(chǎn)。奧迪是自動駕駛汽車技術(shù)的領(lǐng)先者,奧地利高科技公司TTTech則是奧迪中央輔助駕駛控制單元zFAS的核心開發(fā)合作伙伴,他們選擇了Altera® Cyclone® V SoC FPGA幫助提高其系統(tǒng)性能,突出奧迪在導(dǎo)航駕駛和駐車方面的優(yōu)勢,而這些是專用標(biāo)準(zhǔn)產(chǎn)品(ASSP)解決方案無法實現(xiàn)的。   Altera的Cyclone V SoC FPGA結(jié)合了可編程邏輯和雙核ARM C
  • 關(guān)鍵字: Altera  SoC  FPGA  

基于FPGA的FIR濾波器的誤差分析

  •   數(shù)字濾波器作為數(shù)字信號處理技術(shù)的重要組成部分之一,已廣泛應(yīng)用于信號分離、恢復(fù)、整形等重要場合。在工程實踐中,往往要求對信號處理要有實時性和靈活性,而基于FPGA的FIR濾波器因其嚴(yán)格的線性相位和簡單的設(shè)計步驟而應(yīng)用廣泛。本文不僅對基于FPGA設(shè)計的FIR濾波器進(jìn)行了簡單的誤差分析,包括絕對誤差與相對誤差分析;而且還做出了該濾波器的頻譜,通過與MATLAB中仿真出的頻譜進(jìn)行比較分析,驗證了該濾波器在工程應(yīng)用中是適應(yīng)的,滿足了設(shè)計的要求。   基于FPGA的FIR濾波器的誤差分析.pdf
  • 關(guān)鍵字: FPGA  FIR濾波器  

基于FPGA的FIR數(shù)字濾波器設(shè)計與仿真

  •   實現(xiàn)數(shù)字化是控制系統(tǒng)的重要發(fā)展方向,而數(shù)字信號處理已在通信、語音、圖像、自動控制、雷達(dá)、軍事、航空航天等領(lǐng)域廣泛應(yīng)用。數(shù)字信號處理方法通常涉及變換、濾波、頻譜分析、編碼解碼等處理。數(shù)字濾波是重要環(huán)節(jié),它能滿足濾波器對幅度和相位特性的嚴(yán)格要求,克服模擬濾波器所無法解決的電壓和溫度漂移以及噪聲等問題。而有限沖激響應(yīng)FIR濾波器在設(shè)計任意幅頻特性的同時能夠保證嚴(yán)格的線性相位特性。利用FPGA可以重復(fù)配置高精度的FIR濾波器,使用VHDL硬件描述語言改變?yōu)V波器的系數(shù)和階數(shù),并能實現(xiàn)大量的卷積運算算法。結(jié)合MA
  • 關(guān)鍵字: FPGA  FIR  數(shù)字濾波器  

基于XC2V1000型FPGA的FIR抽取濾波器的設(shè)計

  •   1 引言   抽取濾波器廣泛應(yīng)用在數(shù)字接收領(lǐng)域,是數(shù)字下變頻器的核心部分。目前,抽取濾波器的實現(xiàn)方法有3種:單片通用數(shù)字濾波器集成電路、DSP和可編程邏輯器件。使用單片通用數(shù)字濾波器很方便,但字長和階數(shù)的規(guī)格較少,不能完全滿足實際需要。使用DSP雖然簡單,但程序要順序執(zhí)行,執(zhí)行速度必然慢。現(xiàn)場可編程門陣列(FPGA)有著規(guī)整的內(nèi)部邏輯陣列和豐富的連線資源,特別適用于數(shù)字信號處理,但長期以來,用FPGA實現(xiàn)抽取濾波器比較復(fù)雜,其原因主要是FPGA中缺乏實現(xiàn)乘法運算的有效結(jié)構(gòu)?,F(xiàn)在,FPGA集成了乘法器
  • 關(guān)鍵字: FPGA  抽取濾波器  

二維FIR濾波器的FPGA實現(xiàn)

  •   O 引言   二維有限長單位脈沖響應(yīng)濾波器(2D—FIR)用于對二維信號的處理,如在通信領(lǐng)域中廣泛采用2D-FIR完成對I、Q兩支路基帶信號的濾波[1]。由于涉及大量復(fù)數(shù)運算并且實時性要求高,如果不對算法作優(yōu)化在技術(shù)上很難實現(xiàn)。目前主要設(shè)計方案是利用FPGA廠商提供的一維FIR知識產(chǎn)權(quán)核(IP),組成二維濾波器[2]。這種方案沒有考濾復(fù)數(shù)運算的特點,不可能在算法上優(yōu)化,而且IP核的內(nèi)部代碼是不可修改的,因此在不同廠商的器件上不可移植。2D_FIR的復(fù)數(shù)運算都需轉(zhuǎn)成實數(shù)運算來實現(xiàn)的,而其中
  • 關(guān)鍵字: FIR濾波器  FPGA  

基于FPGA分布式算法的低通FIR濾波器的設(shè)計與實現(xiàn)

  •   0 引言   傳統(tǒng)數(shù)字濾波器硬件的實現(xiàn)主要采用專用集成電路(ASIC)和數(shù)字信號處理器(DSP)來實現(xiàn)。FPGA內(nèi)部的功能塊中采用了SRAM的查找表(lo-ok up table,LUT)結(jié)構(gòu),這種結(jié)構(gòu)特別適用于并行處理結(jié)構(gòu),相對于傳統(tǒng)方法來說,其并行度和擴展性都很好,它逐漸成為構(gòu)造可編程高性能算法結(jié)構(gòu)的新選擇。   分布式算法是一種適合FPGA設(shè)計的乘加運算,由于FPGA中硬件乘法器資源有限,直接應(yīng)運乘法會消耗大量的資源。本文利用了豐富的存儲器資源進(jìn)行查找表運算,設(shè)計了一種基于分布式算法低通FI
  • 關(guān)鍵字: FPGA  濾波器  DSP  

基于FPGA 的FIR 數(shù)字濾波器設(shè)計方案

  •   本文簡要介紹了FIR數(shù)字濾波器的結(jié)構(gòu)特點和基本原理,提出基于FPGA和DSP Builder的FIR數(shù)字濾波器的基本設(shè)計流程和實現(xiàn)方案。   在Matlab/Simulink環(huán)境下,采用DSP Builder模塊搭建FIR模型,根據(jù)FDATool工具對FIR濾波器進(jìn)行了設(shè)計,然后進(jìn)行系統(tǒng)級仿真和ModelSim功能仿真,其仿真結(jié)果表明其數(shù)字濾波器的濾波效果良好。通過SignalCompiler把模型轉(zhuǎn)換成VHDL語言加入到FPGA的硬件設(shè)計中,從QuartusⅡ軟件中的虛擬邏輯分析工具SignalT
  • 關(guān)鍵字: FPGA  FIR  數(shù)字濾波器  

零基礎(chǔ)學(xué)FPGA(五)Verilog語法基基礎(chǔ)基礎(chǔ)(下)

  •   9、關(guān)于任務(wù)和函數(shù)的小結(jié),挑幾點重要的說一下吧   (1)任務(wù)具有多個輸入、輸入/輸出和輸出變量,在任務(wù)重可以使用延遲、事件和時序控制結(jié)構(gòu),在任務(wù)重可以調(diào)用其它任務(wù)和函數(shù)。與任務(wù)不同,函數(shù)具有返回值,而且至少要有一個輸入變量,而且在函數(shù)中不能使用延遲、事件和時序控制結(jié)構(gòu),函數(shù)可以條用函數(shù),但是不能調(diào)用任務(wù)。   (2)在聲明函數(shù)時,系統(tǒng)會自動的生成一個寄存器變量,函數(shù)的返回值通過這個寄存器返回到調(diào)用處。   (3)函數(shù)和任務(wù)都包含在設(shè)計層次中,可以通過層次名對他們實行調(diào)用。這句話什么意思啊?
  • 關(guān)鍵字: FPGA  Verilog  

零基礎(chǔ)學(xué)FPGA(四)Verilog語法基基礎(chǔ)基礎(chǔ)(中)

  •   我們接著上篇文章繼續(xù)學(xué)習(xí),上次提到了兩種賦值語句,讓我們接著往下學(xué)。   1、塊語句   塊語句包括兩種,一個是順序塊,一個是并行塊。   (1)順序快   順序快就好比C語言里的大括號“{ }”,在Verilog語法中,用begin…end代替。這里只需要知道,在begin…end中間的語句是順序執(zhí)行的就行了。   (2)并行塊   并行塊可以算是一個新的知識點,與順序塊最大的不同就是并行塊中的語句是同時開始執(zhí)行的,要想控制語句的先后順
  • 關(guān)鍵字: FPGA  Verilog  
共6369條 135/425 |‹ « 133 134 135 136 137 138 139 140 141 142 » ›|

avant fpga介紹

您好,目前還沒有人創(chuàng)建詞條avant fpga!
歡迎您創(chuàng)建該詞條,闡述對avant fpga的理解,并與今后在此搜索avant fpga的朋友們分享。    創(chuàng)建詞條

熱門主題

樹莓派    linux   
關(guān)于我們 - 廣告服務(wù) - 企業(yè)會員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機EEPW
Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國際技術(shù)信息咨詢有限公司
備案 京ICP備12027778號-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473