首頁(yè)  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會(huì)展  EETV  百科   問(wèn)答  電路圖  工程師手冊(cè)   Datasheet  100例   活動(dòng)中心  E周刊閱讀   樣片申請(qǐng)
EEPW首頁(yè) >> 主題列表 >> avant fpga

電路設(shè)計(jì)常見(jiàn)的八個(gè)誤區(qū)

  • 電路設(shè)計(jì)常見(jiàn)的八個(gè)誤區(qū)-電路設(shè)計(jì)常見(jiàn)的八個(gè)誤區(qū):現(xiàn)象一:這板子的PCB設(shè)計(jì)要求不高,就用細(xì)一點(diǎn)的線,自動(dòng)布吧;現(xiàn)象二:這些總線信號(hào)都用電阻拉一下,感覺(jué)放心些;現(xiàn)象三:CPU和FPGA的這些不用的I/O口怎么處理呢?先讓它空著吧,以后再說(shuō)。
  • 關(guān)鍵字: 電路設(shè)計(jì)  PCB  fpga  

為基于FPGA的嵌入式系統(tǒng)進(jìn)行安全升級(jí)

  • 為基于FPGA的嵌入式系統(tǒng)進(jìn)行安全升級(jí)-“系統(tǒng)正在更新,請(qǐng)勿關(guān)閉電源。”我們都看到過(guò)這個(gè)警告,它通常在電子器件要在閃存安裝代碼更新時(shí)出現(xiàn)。如果更新被中斷,閃存將無(wú)法正確更新,代碼將會(huì)損壞,而器件無(wú)法運(yùn)行,即“磚頭化” (bricked)。這種大家熟悉的警告存在的原因,是因?yàn)槭褂瞄W存的大多數(shù)半導(dǎo)體器件在編程或擦除操作期間需要一直供電。顯然,防止器件“磚頭化”是非常重要的。但是,只發(fā)出警告就夠了嗎?有些嵌入式器件甚至都沒(méi)有用戶顯示器,因此無(wú)法產(chǎn)生警告。在設(shè)計(jì)中如何才能確??煽壳野踩倪h(yuǎn)程系統(tǒng)更新呢?
  • 關(guān)鍵字: fpga  嵌入式系統(tǒng)  

fpga最小系統(tǒng)設(shè)計(jì)和原理圖解析

  • fpga最小系統(tǒng)設(shè)計(jì)和原理圖解析- FPGA(Field-Programmable Gate Array),即現(xiàn)場(chǎng)可編程門陣列,它是在PAL、GAL、CPLD等可編程器件的基礎(chǔ)上進(jìn)一步發(fā)展的產(chǎn)物。它是作為專用集成電路(ASIC)領(lǐng)域中的一種半定制電路而出現(xiàn)的,既解決了定制電路的不足,又克服了原有可編程器件門電路數(shù)有限的缺點(diǎn)。
  • 關(guān)鍵字: fpga  

fpga設(shè)計(jì)與應(yīng)用:智能小車設(shè)計(jì)方案

  • fpga設(shè)計(jì)與應(yīng)用:智能小車設(shè)計(jì)方案-整個(gè)系統(tǒng)由發(fā)送端(智能小車部分)和接收端(控制臺(tái):控制和顯示部分)組成
  • 關(guān)鍵字: fpga  

FPGA應(yīng)用和設(shè)計(jì)要點(diǎn)詳細(xì)解析

  • FPGA應(yīng)用和設(shè)計(jì)要點(diǎn)詳細(xì)解析-FPGA的用處比我們平時(shí)想象的用處更廣泛,原因在于其中集成的模塊種類更多,而不僅僅是原來(lái)的簡(jiǎn)單邏輯單元(LE)。早期的FPGA相對(duì)比較簡(jiǎn)單,所有的功能單元僅僅由管腳、內(nèi)部buffer、LE、RAM構(gòu)建而成,LE由LUT(查找表)和D觸發(fā)器構(gòu)成,RAM也往往容量非常小
  • 關(guān)鍵字: fpga  

fpga是什么?fpga經(jīng)典設(shè)計(jì)與應(yīng)用:基于FPGA的高速AD轉(zhuǎn)換系統(tǒng)

  • fpga是什么?fpga經(jīng)典設(shè)計(jì)與應(yīng)用:基于FPGA的高速AD轉(zhuǎn)換系統(tǒng)-在雷達(dá)設(shè)計(jì)中,需要對(duì)接收到的信號(hào)首先進(jìn)行模數(shù)轉(zhuǎn)換,其轉(zhuǎn)換速度和準(zhǔn)確性直接決定了之后FFT等運(yùn)算的準(zhǔn)確性,最終影響雷達(dá)測(cè)量精度。介紹了一種基于FPGA,利用芯片ADS7890實(shí)現(xiàn)一種快速14位串行AD轉(zhuǎn)換,對(duì)系統(tǒng)的軟件和硬件做了說(shuō)明。硬件部分主要為ADS7890的基本外圍電路以及芯片EP2C35F672C與其的控制連接,軟件部分利用Quartus II 8.0編程。
  • 關(guān)鍵字: fpga  

什么是FPGA,ASIC,如何設(shè)計(jì)一個(gè)適用于它們的供電系統(tǒng)

  • 什么是FPGA,ASIC,如何設(shè)計(jì)一個(gè)適用于它們的供電系統(tǒng)-目前,在集成電路界ASIC被認(rèn)為是一種為專門目的而設(shè)計(jì)的集成電路。是指應(yīng)特定用戶要求和特定電子系統(tǒng)的需要而設(shè)計(jì)、制造的集成電路。ASIC的特點(diǎn)是面向特定用戶的需求,ASIC在批量生產(chǎn)時(shí)與通用集成電路相比具有體積更小、功耗更低、可靠性提高、性能提高、保密性增強(qiáng)、成本降低等優(yōu)點(diǎn)
  • 關(guān)鍵字: fpga  asic  電源  

PCB電路板設(shè)計(jì)必看常識(shí)!單層FPC/雙面FPC/多層FPC有何區(qū)別,自學(xué)材料

  • PCB電路板設(shè)計(jì)必看常識(shí)!單層FPC/雙面FPC/多層FPC有何區(qū)別,自學(xué)材料-雖然電路板廠的工程師不參與設(shè)計(jì)電路板,而是由客戶出原始設(shè)計(jì)資料再制成公司內(nèi)部的PCB電路板制作資料,但通過(guò)多年的實(shí)踐經(jīng)驗(yàn),工程師們對(duì)PCB電路板的設(shè)計(jì)早已有所積累,總結(jié)如下僅供參考:
  • 關(guān)鍵字: fpga  fpc  pda  

FPGA技術(shù)協(xié)助嵌入式系統(tǒng)競(jìng)逐于機(jī)器學(xué)習(xí)之路

  • FPGA技術(shù)協(xié)助嵌入式系統(tǒng)競(jìng)逐于機(jī)器學(xué)習(xí)之路-機(jī)器學(xué)習(xí)技術(shù)是人工智能的一個(gè)重要科學(xué)發(fā)展,透過(guò)在經(jīng)驗(yàn)學(xué)習(xí)中改善具體算法的效能,而且用來(lái)訓(xùn)練的數(shù)據(jù)越多,所學(xué)習(xí)出來(lái)的結(jié)果越好,為了處理分析大量圖像或是語(yǔ)音等辨識(shí)的機(jī)器學(xué)習(xí)算法數(shù)據(jù),需要采用GPU芯片所打造的高速平行運(yùn)算處理的類神經(jīng)網(wǎng)絡(luò)超級(jí)計(jì)算機(jī),利用諸如Tensorflow、Caffe等深度學(xué)習(xí)框架(Framework)等工具,來(lái)發(fā)展有效的算法。
  • 關(guān)鍵字: FPGA  嵌入式  人工智能  

合理使用JTAG和IMPACT幫助你調(diào)試FPGA不能啟動(dòng)的問(wèn)題

  • 合理使用JTAG和IMPACT幫助你調(diào)試FPGA不能啟動(dòng)的問(wèn)題-本來(lái)想著把GTX后面兩篇博文找時(shí)間寫了,但是最近實(shí)在是忙,一直在搭圖像處理的AXI框架和整FPGA-DSP雙平臺(tái)的板子,下面先和大家分享一下調(diào)試心得。
  • 關(guān)鍵字: JTAG  MPACT  FPGA  

手把手教你FPGA與RT以及Host端通信

  • 手把手教你FPGA與RT以及Host端通信-在ECM中,會(huì)涉及到FPGA、RT以及主機(jī),那么三者之間是如何進(jìn)行數(shù)據(jù)流的傳輸呢?本文將以cRIO-9068為例,帶大家了解整個(gè)編程以及實(shí)現(xiàn)過(guò)程。
  • 關(guān)鍵字: FPGA  Host  Real-Time  

基于FPGA硬件加速的BittWare StreamSleuth對(duì)抗100Gbps

  • 基于FPGA硬件加速的BittWare StreamSleuth對(duì)抗100Gbps-在過(guò)去的三十年中,以太網(wǎng)已經(jīng)發(fā)展成為所有行業(yè)的統(tǒng)一通信基礎(chǔ)架構(gòu)。每天都有超過(guò)三百萬(wàn)的以太網(wǎng)端口在部署,覆蓋從FE到100GbE的所有速度。
  • 關(guān)鍵字: FPGA  以太網(wǎng)  

AWS獨(dú)家詳述FPGA基本原理和市場(chǎng)發(fā)展

  • AWS獨(dú)家詳述FPGA基本原理和市場(chǎng)發(fā)展-在2016年底一年快要結(jié)束的時(shí)候,AWS(亞馬遜網(wǎng)絡(luò)服務(wù))宣布通過(guò)借助云傳輸模型可以采用Xilinx高端FPGA器件了,首次以開(kāi)發(fā)者的角度而不是擴(kuò)展高層次工具來(lái)幫助潛在的用戶學(xué)習(xí)和體驗(yàn)FPGA的加速效果。
  • 關(guān)鍵字: AWS  FPGA  

FPGA的過(guò)去,現(xiàn)在和未來(lái)

  • FPGA的過(guò)去,現(xiàn)在和未來(lái)-自Xilinx在1984年創(chuàng)造出FPGA以來(lái),這種可編程邏輯器件憑借性能、上市時(shí)間、成本、穩(wěn)定性和長(zhǎng)期維護(hù)方面的優(yōu)勢(shì),在通信、醫(yī)療、工控和安防等領(lǐng)域占有一席之地,在過(guò)去幾年也有極高的增長(zhǎng)率。而進(jìn)入了最近兩年,由于云計(jì)算、高性能計(jì)算和人工智能的繁榮,擁有先天優(yōu)勢(shì)的FPGA的關(guān)注度更是到達(dá)了前所未有的高度。本文從基礎(chǔ)出發(fā)談及FPGA的過(guò)去、現(xiàn)在與未來(lái)。
  • 關(guān)鍵字: fpga  xilinx  英特爾  

FPGA基于CORDIC算法的求平方實(shí)現(xiàn)

  • FPGA基于CORDIC算法的求平方實(shí)現(xiàn)-CORDIC是在沒(méi)有專用乘法器(最小化門數(shù)量)情況下,一組完成特定功能的算法,包括平方、超越、Log、sin/cos/artan。原理為連續(xù)的旋轉(zhuǎn)一個(gè)較小的角度,以一定精度逼近想要的角度。
  • 關(guān)鍵字: FPGA  CORDIC  
共6369條 52/425 |‹ « 50 51 52 53 54 55 56 57 58 59 » ›|

avant fpga介紹

您好,目前還沒(méi)有人創(chuàng)建詞條avant fpga!
歡迎您創(chuàng)建該詞條,闡述對(duì)avant fpga的理解,并與今后在此搜索avant fpga的朋友們分享。    創(chuàng)建詞條

熱門主題

樹(shù)莓派    linux   
關(guān)于我們 - 廣告服務(wù) - 企業(yè)會(huì)員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國(guó)際技術(shù)信息咨詢有限公司
備案 京ICP備12027778號(hào)-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473