首頁(yè)  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會(huì)展  EETV  百科   問(wèn)答  電路圖  工程師手冊(cè)   Datasheet  100例   活動(dòng)中心  E周刊閱讀   樣片申請(qǐng)
EEPW首頁(yè) >> 主題列表 >> fpga-nios

Board從入門(mén)到精通系列(六)

  • Board從入門(mén)到精通系列(六)-由于更新了開(kāi)發(fā)工具,所以本篇博客有必要重復(fù)前面的內(nèi)容,今天首先演示如何利用Vivado開(kāi)發(fā)純邏輯工程,即只在PL上進(jìn)行開(kāi)發(fā)。
  • 關(guān)鍵字: FPGA  Vivado  OpenRISC  

ZYNQ器件的啟動(dòng)配置方法

  • ZYNQ器件的啟動(dòng)配置方法-無(wú)任是用CPU作為系統(tǒng)的主要器件,還是用FPGA作為系統(tǒng)的主要器件,系統(tǒng)設(shè)計(jì)中首先要考慮到的問(wèn)題就是處理器的啟動(dòng)加載問(wèn)題。
  • 關(guān)鍵字: FPGA  XILINX  賽靈思  

Board從入門(mén)到精通(五):軟硬件協(xié)同設(shè)計(jì)

  • Board從入門(mén)到精通(五):軟硬件協(xié)同設(shè)計(jì)-Zynq最大的優(yōu)勢(shì)在于,同時(shí)具備軟件、硬件、IO可編程,即All Programmable。在設(shè)計(jì)Zynq過(guò)程中,同樣要建立一種意識(shí),就是從原來(lái)單純的軟件思維(或單純的硬件思維)中解脫,轉(zhuǎn)向軟硬件協(xié)同設(shè)計(jì)的開(kāi)發(fā)方法。
  • 關(guān)鍵字: Board  Zynq  FPGA  

FPGA開(kāi)發(fā)基本流程

  • FPGA開(kāi)發(fā)基本流程-FPGA是可編程芯片,因此FPGA的設(shè)計(jì)方法包括硬件設(shè)計(jì)和軟件設(shè)計(jì)兩部分。硬件包括FPGA芯片電路、 存儲(chǔ)器、輸入輸出接口電路以及其他設(shè)備,軟件即是相應(yīng)的HDL程序以及最新才流行的嵌入式C程序。
  • 關(guān)鍵字: FPGA  微電子  SOC  

從可編程器件發(fā)展看FPGA未來(lái)趨勢(shì)

  • 從可編程器件發(fā)展看FPGA未來(lái)趨勢(shì)-可編程邏輯器件的發(fā)展歷史可編程邏輯器件的發(fā)展可以劃分為4個(gè)階段,即從20世紀(jì)70年代初到70年代中為第1段,20世紀(jì)70年代中到80年代中為第2階段,20世紀(jì)80年代到90年代末為第3階段,20世紀(jì)90年代末到目前為第4階段。
  • 關(guān)鍵字: FPGA  可編程器件  賽靈思  

底層內(nèi)嵌功能單元與軟核、硬核以及固核

  • 底層內(nèi)嵌功能單元與軟核、硬核以及固核-內(nèi)嵌功能模塊主要指DLL(Delay Locked Loop)、PLL(Phase Locked Loop)、DSP 等軟處理核(Soft Core)。現(xiàn)在越來(lái)越豐富的內(nèi)嵌功能單元,使得單片F(xiàn)PGA 成為了系統(tǒng)級(jí)的設(shè)計(jì)工具,使其具備了軟硬件聯(lián)合設(shè)計(jì)的能力,逐步向SOC 平臺(tái)過(guò)渡。
  • 關(guān)鍵字: FPGA  賽靈思  DLL  

數(shù)字時(shí)鐘管理模塊與嵌入式塊RAM

  • 數(shù)字時(shí)鐘管理模塊與嵌入式塊RAM-業(yè)內(nèi)大多數(shù)FPGA 均提供數(shù)字時(shí)鐘管理( 賽靈思公司的全部FPGA 均具有這種特性)。賽靈思公司推出最先進(jìn)的FPGA 提供數(shù)字時(shí)鐘管理和相位環(huán)路鎖定。相位環(huán)路鎖定能夠提供精確的時(shí)鐘綜合,且能夠降低抖動(dòng),并實(shí)現(xiàn)過(guò)濾功能。
  • 關(guān)鍵字: 數(shù)字時(shí)鐘管理  FPGA  賽靈思  

FPGA主要功能模塊介紹(1)

  • FPGA主要功能模塊介紹(1)-可編程輸入/ 輸出單元簡(jiǎn)稱(chēng)I/O 單元,是芯片與外界電路的接口部分,完成不同電氣特性下對(duì)輸入/ 輸出信號(hào)的驅(qū)動(dòng)與匹配要求,其示意結(jié)構(gòu)如圖2-4 所示。FPGA 內(nèi)的I/O 按組分類(lèi),每組都能夠獨(dú)立地支持不同的I/O標(biāo)準(zhǔn)。
  • 關(guān)鍵字: FPGA  CLB  賽靈思  

Verilog HDL簡(jiǎn)明教程(part1)

  • Verilog HDL簡(jiǎn)明教程(part1)-Verilog HDL是一種硬件描述語(yǔ)言,用于從算法級(jí)、門(mén)級(jí)到開(kāi)關(guān)級(jí)的多種抽象設(shè)計(jì)層次的數(shù)字系統(tǒng)建模。被建模的數(shù)字系統(tǒng)對(duì)象的復(fù)雜性可以介于簡(jiǎn)單的門(mén)和完整的電子數(shù)字系統(tǒng)之間。數(shù)字系統(tǒng)能夠按層次描述,并可在相同描述中顯式地進(jìn)行時(shí)序建模。
  • 關(guān)鍵字: VerilogHDL  FPGA  

FPGA基本知識(shí)與發(fā)展趨勢(shì)(part2)

  • FPGA基本知識(shí)與發(fā)展趨勢(shì)(part2)-由于基于LUT 的FPGA 具有很高的集成度,其器件密度從數(shù)萬(wàn)門(mén)到數(shù)千萬(wàn)門(mén)不等,可以完成極其復(fù)雜的時(shí)序與邏輯組合邏輯電路功能,所以適用于高速、高密度的高端數(shù)字邏輯電路設(shè)計(jì)領(lǐng)域。
  • 關(guān)鍵字: FPGA  賽靈思  EPROM  

FPGA實(shí)戰(zhàn)開(kāi)發(fā)技巧(10)

  • FPGA實(shí)戰(zhàn)開(kāi)發(fā)技巧(10)-串行Flash的特點(diǎn)是占用管腳比較少,作為系統(tǒng)的數(shù)據(jù)存貯非常合適,一般都是采用串行外設(shè)接口(SPI 總線(xiàn)接口)。Flash 存貯器與EEPROM根本不同的特征就是EEPROM可以按字節(jié)進(jìn)行數(shù)據(jù)的改寫(xiě),而Flash只能先擦除一個(gè)區(qū)間,然后改寫(xiě)其內(nèi)容。
  • 關(guān)鍵字: FPGA  賽靈思  EEPROM  

FPGA實(shí)戰(zhàn)開(kāi)發(fā)技巧(9)

  • FPGA實(shí)戰(zhàn)開(kāi)發(fā)技巧(9)-FPGA配置方式靈活多樣,根據(jù)芯片是否能夠自己主動(dòng)加載配置數(shù)據(jù)分為主模式、從模式以及JTAG模式。典型的主模式都是加載片外非易失( 斷電不丟數(shù)據(jù)) 性存儲(chǔ)器中的配置比特流,配置所需的時(shí)鐘信號(hào)( 稱(chēng)為CCLK) 由FPGA內(nèi)部產(chǎn)生,且FPGA控制整個(gè)配置過(guò)程
  • 關(guān)鍵字: FPGA  賽靈思  JTAG  

FPGA實(shí)戰(zhàn)開(kāi)發(fā)技巧(8)

  • FPGA實(shí)戰(zhàn)開(kāi)發(fā)技巧(8)-FPGA 設(shè)計(jì)的時(shí)序性能是由物理器件、用戶(hù)代碼設(shè)計(jì)以及EDA 軟件共同決定的,忽略了任何一方面的因素,都會(huì)對(duì)時(shí)序性能有很大的影響。本節(jié)主要給出大規(guī)模設(shè)計(jì)中,賽靈思物理器件和EDA 軟件的最優(yōu)使用方案。
  • 關(guān)鍵字: FPGA  賽靈思  EDA  

高云半導(dǎo)體推出GW2A系列FPGA芯片的DDR類(lèi)儲(chǔ)存器接口解決方案

  •   山東濟(jì)南,2017年10月10日訊,山東高云半導(dǎo)體科技有限公司(以下簡(jiǎn)稱(chēng)“山東高云半導(dǎo)體”)今天宣布推出基于中密度晨熙?家族的GW2A系列FPGA芯片的DDR類(lèi)儲(chǔ)存器接口IP核初級(jí)版(Gowin Memory Interface IP),包括相關(guān)IP軟核、參考設(shè)計(jì)及開(kāi)發(fā)板等完整解決方案。  高云DDR類(lèi)儲(chǔ)存器接口IP核初級(jí)版目前是一個(gè)通用的DDR2存儲(chǔ)器接口IP,兼容JESD79-2標(biāo)準(zhǔn)。該IP包含通用的DDR2內(nèi)存控制器(Memory Controller,M
  • 關(guān)鍵字: 高云  FPGA  

手把手教你FPGA存儲(chǔ)器項(xiàng)使用DRAM

  •   某些FPGA終端,包含板載的、可以動(dòng)態(tài)隨機(jī)訪(fǎng)問(wèn)的存儲(chǔ)塊(DRAM),這些存儲(chǔ)塊可以在FPGA VI中直接訪(fǎng)問(wèn),速率非常高?! RAM可以用來(lái)緩存大批量的數(shù)據(jù),而且速度可以非???。針對(duì)一些特殊應(yīng)用,比如:瞬時(shí)帶寬非常高,而且有要保存原始數(shù)據(jù)的時(shí)候,就可以用DRAM做一個(gè)大的FIFO緩沖?! RAM的大小每塊板卡可能不同,一般在官網(wǎng)中對(duì)應(yīng)板卡的說(shuō)明中都會(huì)標(biāo)明DRAM的大小(如果有DRAM的話(huà))。比如,PXIe-7966R就有512M的DRAM空間?! ttp://sine.ni.com/n
  • 關(guān)鍵字: FPGA  DRAM  
共6475條 53/432 |‹ « 51 52 53 54 55 56 57 58 59 60 » ›|

fpga-nios介紹

您好,目前還沒(méi)有人創(chuàng)建詞條fpga-nios!
歡迎您創(chuàng)建該詞條,闡述對(duì)fpga-nios的理解,并與今后在此搜索fpga-nios的朋友們分享。    創(chuàng)建詞條

熱門(mén)主題

樹(shù)莓派    linux   
關(guān)于我們 - 廣告服務(wù) - 企業(yè)會(huì)員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國(guó)際技術(shù)信息咨詢(xún)有限公司
備案 京ICP備12027778號(hào)-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473