首頁  資訊  商機   下載  拆解   高校  招聘   雜志  會展  EETV  百科   問答  電路圖  工程師手冊   Datasheet  100例   活動中心  E周刊閱讀   樣片申請
EEPW首頁 >> 主題列表 >> verilog hdl

Verilog HDL設(shè)計自動數(shù)據(jù)采集系統(tǒng)

  • 隨著數(shù)字時代的到來,數(shù)字技術(shù)的應(yīng)用已經(jīng)滲透到了人類生活的各個方面。數(shù)字系統(tǒng)發(fā)展在很大程度上得益于器件和集成技術(shù)的發(fā)展,著名的摩爾定律(Moores Law)的預(yù)言也在集成電路的發(fā)展過程中被印證了,數(shù)字系統(tǒng)的設(shè)計理
  • 關(guān)鍵字: Verilog  HDL  自動  數(shù)據(jù)采集系統(tǒng)    

基于Verilog HDL語言的CAN總線控制器設(shè)計及驗證

  • 摘要:在此利用Verilog HDL設(shè)計了一款CAN總線控制器,首先根據(jù)協(xié)議把整個CAN總線控制器劃分為接口邏輯管理、寄存器邏輯和CAN核心模塊3個模塊,然后用Verilog HDL硬件描述語言設(shè)計了各個功能模塊,并使用Modelsim軟件
  • 關(guān)鍵字: 控制器  設(shè)計  驗證  總線  CAN  Verilog  HDL  語言  基于  

基于ESL并采用System C和System Verilog的設(shè)計流程

  •  ESL解決方案的目標(biāo)在于提供讓設(shè)計人員能夠在一種抽象層次上對芯片進行描述和分析的工具和方法,在這種抽象層次上,設(shè)計人員可以對芯片特性進行功能性的描述,而沒有必要求助于硬件(RTL)實現(xiàn)的具體細節(jié)。 當(dāng)今
  • 關(guān)鍵字: System  Verilog  ESL  設(shè)計流程    

科銳發(fā)布Verilog-A無線射頻器件模型

  • 科銳公司(Nasdaq: CREE)宣布推出適用于 GaN 無線射頻器件的全新 Verilog-A 非線性器件模型,該模型專為安捷倫的 ADS 以及 AWR 的 Microwave Office 等領(lǐng)先無線射頻設(shè)計平臺而研發(fā)。全新器件模型能夠支持更為復(fù)雜的電路仿真,包括最新寬帶調(diào)制包絡(luò)分析和4G 蜂窩通信的多模式無線射頻功率放大器。
  • 關(guān)鍵字: 科銳  無線射頻  Verilog-A  

基于Verilog實現(xiàn)電器定時開關(guān)控制

  • 1、前言隨著當(dāng)今社會工作和生活節(jié)奏的加快,人們對許多電器、儀器、設(shè)備的自動化要求也越來越高,但現(xiàn) ...
  • 關(guān)鍵字: Verilog  電器  定時開關(guān)  控制  

Verilog代碼驗證的全面性與代碼覆蓋率分析

  • Verilog代碼驗證的全面性與代碼覆蓋率分析,對于復(fù)雜的設(shè)計來說,Verilog代碼覆蓋率檢查是檢查驗證工作是否完全的重要方法,代碼覆蓋率(codecoverge)可以指示Verilog代碼描述的功能有多少在仿真過程中被驗證過了,代碼覆蓋率分析包括以下分析內(nèi)容。1、語句覆
  • 關(guān)鍵字: 代碼  分析  覆蓋率  驗證  Verilog  全面性  

Verilog串口UART程序

  • Verilog串口UART程序,==========================================================================
    //-----------------------------------------------------
    // Design Name : uart
    // File Name : uart.v
    // Function : S
  • 關(guān)鍵字: 程序  UART  串口  Verilog  

采用Verilog的數(shù)字跑表設(shè)計

  • 本節(jié)通過Verilog HDL語言編寫一個具有“百分秒、秒、分”計時功能的數(shù)字跑表,可以實現(xiàn)一個小時以內(nèi)精確至百 ...
  • 關(guān)鍵字: Verilog  數(shù)字跑表  

Verilog模擬PS2協(xié)議的方法

  • Verilog模擬PS2協(xié)議的方法,PS2協(xié)議讀鍵盤值相當(dāng)簡單嘛,比模擬SPI、I2C簡單多了...下面介紹一下具體過程.1.明確接線關(guān)系,只需接4根線,VCC要+5V,3.3我測試過不能用,時鐘和數(shù)據(jù)線要用bidir雙向口線,F(xiàn)PGA可以不用外接上拉電阻。另外,USB鍵盤
  • 關(guān)鍵字: 方法  協(xié)議  PS2  模擬  Verilog  

可以將第三方的IP(來自VHDL或Verilog)吸納到NI Fl

  • 如果適配器模塊是由NI公司開發(fā)的,那么不需要任何VHDL或其他硬件描述語言的經(jīng)驗。所有的FPGA編程均通過NI LabVIEW FPGA模塊和NI-RIO驅(qū)動程序軟件以圖形化的方式完成。如果該適配器模塊是由第三方開發(fā)的,則或許提供定
  • 關(guān)鍵字: FlexRIO  Verilog  VHDL  IP    

MATHWORKS推出基于MATLAB生成HDL代碼的產(chǎn)品

  • MathWorks近日宣布推出HDL Coder,該產(chǎn)品支持MATLAB 自動生成 HDL 代碼,允許工程師利用廣泛應(yīng)用的 MATLAB 語言實現(xiàn) FPGA 和 ASIC 設(shè)計。MathWorks還宣布推出了HDL Verifier,該產(chǎn)品包含用于測試 FPGA 和 ASIC 設(shè)計的 FPGA 硬件在環(huán)功能。有了這兩個產(chǎn)品,MathWorks現(xiàn)在可提供利用 MATLAB 和 Simulink 進行 HDL 代碼生成和驗證的能力。
  • 關(guān)鍵字: MathWorks  FPGA  HDL  

基于FPGA和Verilog的液晶顯示控制器設(shè)計

  • 液晶顯示器由于具有低壓、微功耗、顯示信息量大、體積小等特點,在移動通信終端、便攜計算機、GPS衛(wèi)星定位系統(tǒng)等領(lǐng)域有廣泛用途,成為使用量最大的顯示器件。液晶顯示控制器作為液晶驅(qū)動電路的核心部件通常由集成電路
  • 關(guān)鍵字: 控制器  設(shè)計  液晶顯示  Verilog  FPGA  基于  

CY7C68013與FPGA接口的Verilog HDL實現(xiàn)

  • 0 引 言USB(通用串行總線)是英特爾、微軟、IBM、康柏等公司1994年聯(lián)合制定的一種通用串行總線規(guī)范,它解決了與網(wǎng)絡(luò)通信問題,而且端口擴展性能好、容易使用。最新的USB2.0支持3種速率:低速1.5 Mbit/s,全速12 Mbit/
  • 關(guān)鍵字: Verilog  C68013  68013  FPGA    

基于XCR3032的大容量FLASH存儲器接口設(shè)計

  • 摘要:提出一種使用Xilinx公司生產(chǎn)的低功耗CPLD芯片XCR3032來實現(xiàn)微控制器與大容量FLASH存儲器相接口的...
  • 關(guān)鍵字: XCR3032  FLASH存儲器  K9K1G08U0M  Verilog  HDL  

verilog PS2鍵盤解碼源程序

  • 之前探討過PS/2鍵盤編解碼以及數(shù)據(jù)傳輸協(xié)議,這次自己動手實現(xiàn)了利用FPGA接收鍵盤編碼,然后通過串口傳輸?shù)絇C。做的比較簡單,只是通過FPGA把大寫字母A-Z轉(zhuǎn)換成相應(yīng)的ASCII碼,只要字母按鍵被按下,就能在串口調(diào)試助
  • 關(guān)鍵字: 源程序  解碼  鍵盤  PS2  verilog  
共202條 10/14 |‹ « 5 6 7 8 9 10 11 12 13 14 »

verilog hdl介紹

Verilog HDL是一種硬件描述語言(HDL:Hardware Discription Language),是一種以文本形式來描述數(shù)字系統(tǒng)硬件的結(jié)構(gòu)和行為的語言,用它可以表示邏輯電路圖、邏輯表達式,還可以表示數(shù)字邏輯系統(tǒng)所完成的邏輯功能。   Verilog HDL和VHDL是目前世界上最流行的兩種硬件描述語言,都是在20世紀(jì)80年代中期開發(fā)出來的。前者由Gateway Design Aut [ 查看詳細 ]

熱門主題

樹莓派    linux   
關(guān)于我們 - 廣告服務(wù) - 企業(yè)會員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機EEPW
Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國際技術(shù)信息咨詢有限公司
備案 京ICP備12027778號-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473