首頁(yè)  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會(huì)展  EETV  百科   問(wèn)答  電路圖  工程師手冊(cè)   Datasheet  100例   活動(dòng)中心  E周刊閱讀   樣片申請(qǐng)
EEPW首頁(yè) >> 主題列表 >> verilog hdl

基于Verilog HDL數(shù)字電位器ADN2850的串口控制

  • 摘要:數(shù)字電位器由于可調(diào)精度高,更穩(wěn)定,定位更準(zhǔn)確,操作更方便,數(shù)據(jù)可長(zhǎng)期保存和隨時(shí)刷新等優(yōu)點(diǎn),在某些場(chǎng)合具有模擬電位器不可比擬的優(yōu)勢(shì)。論述對(duì)數(shù)字電位器ADN2850的一種方便的控制方法,通過(guò)計(jì)算機(jī)上的串口直
  • 關(guān)鍵字: 串口  控制  ADN2850  數(shù)字電位器  Verilog  HDL  基于  

首屆中國(guó)開(kāi)源IP核標(biāo)準(zhǔn)化設(shè)計(jì)競(jìng)賽啟動(dòng)

  •   在工信部電子信息司的指導(dǎo)下,工業(yè)和信息化部軟件與集成電路促進(jìn)中心(CSIP )聯(lián)合集成電路IP核標(biāo)準(zhǔn)工作組,現(xiàn)面向全國(guó)集成電路設(shè)計(jì)企業(yè)工程師、科研院所及高校師生,舉辦2009年“首屆中國(guó)開(kāi)源IP核標(biāo)準(zhǔn)化設(shè)計(jì)競(jìng)賽”,競(jìng)賽報(bào)名工作已于6月3日啟動(dòng)。報(bào)名及詳情咨詢可登錄競(jìng)賽官方網(wǎng)站 http://www.ipmall.org.cn了解。據(jù)悉本次競(jìng)賽獲獎(jiǎng)?wù)呖煞謩e獲得現(xiàn)金1萬(wàn)元、5千元等獎(jiǎng)勵(lì),針對(duì)學(xué)生參賽者有機(jī)會(huì)獲得到IBM中國(guó)芯片設(shè)計(jì)中心實(shí)習(xí)的機(jī)會(huì)!   參賽者可以個(gè)人或團(tuán)隊(duì)(不高于
  • 關(guān)鍵字: CSIP  VHDL  Verilog  

基于Verilog計(jì)算精度可調(diào)的整數(shù)除法器的設(shè)計(jì)

  • 0 引 言
    除法器是電子技術(shù)領(lǐng)域的基礎(chǔ)模塊,在電子電路設(shè)計(jì)中得到廣泛應(yīng)用。目前,實(shí)現(xiàn)除法器的方法有硬件實(shí)現(xiàn)和軟件實(shí)現(xiàn)兩種方法。硬件實(shí)現(xiàn)的方法主要是以硬件的消耗為代價(jià),從而有實(shí)現(xiàn)速度快的特點(diǎn)。用硬件的方
  • 關(guān)鍵字: Verilog  計(jì)算  精度可調(diào)  整數(shù)除法器    

基于Verilog的FPGA與USB 2.0高速接口設(shè)計(jì)

  • 0 引 言
    USB(通用串行總線)是英特爾、微軟、IBM、康柏等公司1994年聯(lián)合制定的一種通用串行總線規(guī)范,它具有數(shù)據(jù)傳輸速度快,成本低,可靠性高,支持即插即用和熱插拔等優(yōu)點(diǎn),迅速得到廣泛應(yīng)用。
    在高速的數(shù)
  • 關(guān)鍵字: Verilog  FPGA  USB  高速接口    

NI FlexRIO是否必須使用FPGA模塊

  • NILabVIEWFPGA模塊可以幫助您利用LabVIEW程序框圖對(duì)一個(gè)FPGA進(jìn)行編程。在其底層,該模塊采用代碼生成技術(shù)實(shí)...
  • 關(guān)鍵字: NI  LabVIEW  FPGA  HDL  COTS  

基于神經(jīng)網(wǎng)絡(luò)電機(jī) 速度控制器的SOPC系統(tǒng)

  • 針對(duì)機(jī)器人伺服控制系統(tǒng)高速度、高精度的要求,介紹一種全數(shù)字化的基于神經(jīng)網(wǎng)絡(luò)控制的直流電機(jī)速度伺服控制系統(tǒng)的設(shè)計(jì)方案。速度控制器采用BP網(wǎng)絡(luò)參數(shù)辨識(shí)自適應(yīng)控制,并將其在FPGA進(jìn)行硬件實(shí)現(xiàn);同時(shí)用Nios II軟核處理器作為上位機(jī),構(gòu)成一個(gè)完整的速度伺服控制器的片上可編程系統(tǒng)(SOPC)。實(shí)驗(yàn)結(jié)果表明,該控制系統(tǒng)具有較高的控制精度、較好的穩(wěn)定性和靈活性。
  • 關(guān)鍵字: SOPC  系統(tǒng)  控制器  速度  神經(jīng)網(wǎng)絡(luò)  電機(jī)  基于  神經(jīng)網(wǎng)絡(luò)   伺服控制   現(xiàn)場(chǎng)可編程門陣列   Verilog HDL  

基于Verilog的順序狀態(tài)邏輯FSM設(shè)計(jì)與仿真

基于Verilog-HDL的軸承振動(dòng)噪聲電壓峰值檢測(cè)

  • 引言   在軸承生產(chǎn)行業(yè)中,軸承振動(dòng)噪聲的峰值檢測(cè)是一項(xiàng)重要的指標(biāo)。以往,該檢測(cè)都是采用傳統(tǒng)的模擬電路方法,很難做到1:1地捕捉和保持較窄的隨機(jī)波形的最大正峰值。本文敘述了基于Verilog-HDL與高速A/D轉(zhuǎn)換器相結(jié)合所實(shí)現(xiàn)的快速軸承噪聲檢測(cè)方法。   1 振動(dòng)噪聲電壓峰值檢測(cè)方案的確定   1.1 軸承振動(dòng)噪聲的產(chǎn)生及檢測(cè)   圖1是軸承振動(dòng)噪聲電壓峰值檢測(cè)系統(tǒng)的示意圖。由于加工設(shè)備、技術(shù)、環(huán)境等因素的影響,生產(chǎn)的軸承都程度不同地帶有傷疤。圖1中,假設(shè)某待測(cè)軸承有一處傷疤。由于傷痕的存在,軸
  • 關(guān)鍵字: Verilog  軸承  振動(dòng)噪聲  電壓峰值檢測(cè)  

基于SystemC的系統(tǒng)級(jí)芯片設(shè)計(jì)方法研究

  •   隨著集成電路制造技術(shù)的迅速發(fā)展,SOC設(shè)計(jì)已經(jīng)成為當(dāng)今集成電路設(shè)計(jì)的發(fā)展方向。SO C設(shè)計(jì)的復(fù)雜性對(duì)集成電路設(shè)計(jì)的各個(gè)層次,特別是對(duì)系統(tǒng)級(jí)芯片設(shè)計(jì)層次,帶來(lái)了新挑戰(zhàn),原有的HDL難以滿足新的設(shè)計(jì)要求。   硬件設(shè)計(jì)領(lǐng)域有2種主要的設(shè)計(jì)語(yǔ)言:VHDL和Verilog HDL。而兩種語(yǔ)言的標(biāo)準(zhǔn)不統(tǒng)一,導(dǎo)致軟硬件設(shè)計(jì)工程師之間工作交流出現(xiàn)障礙,工作效率較低。因此,集成電路設(shè)計(jì)界一直在尋找一種能同時(shí)實(shí)現(xiàn)較高層次的軟件和硬件描述的系統(tǒng)級(jí)設(shè)計(jì)語(yǔ)言。Synopsys公司與Coware公司針對(duì)各方對(duì)系統(tǒng)級(jí)設(shè)計(jì)語(yǔ)言的
  • 關(guān)鍵字: SOC  SystemC  集成電路  VHDL  Verilog HDL  

基于Verilog HDL的異步FIFO設(shè)計(jì)與實(shí)現(xiàn)

  •   在現(xiàn)代IC設(shè)計(jì)中,特別是在模塊與外圍芯片的通信設(shè)計(jì)中,多時(shí)鐘域的情況不可避免。當(dāng)數(shù)據(jù)從一個(gè)時(shí)鐘域傳遞到另一個(gè)域,并且目標(biāo)時(shí)鐘域與源時(shí)鐘域不相關(guān)時(shí),這些域中的動(dòng)作是不相關(guān)的,從而消除了同步操作的可能性,并使系統(tǒng)重復(fù)地進(jìn)入亞穩(wěn)定狀態(tài)[1]。在有大量的數(shù)據(jù)需要進(jìn)行跨時(shí)鐘域傳輸且對(duì)數(shù)據(jù)傳輸速度要求比較高的場(chǎng)合,異步FIFO是一種簡(jiǎn)單、快捷的解決方案。   異步FIFO用一種時(shí)鐘寫入數(shù)據(jù),而用另外一種時(shí)鐘讀出數(shù)據(jù)。讀寫指針的變化動(dòng)作由不同的時(shí)鐘產(chǎn)生。因此,對(duì)FIFO空或滿的判斷是跨時(shí)鐘域的。如何根據(jù)異步的指針
  • 關(guān)鍵字: FIFO  異步  Verilog HDL  IC  亞穩(wěn)態(tài)  

HDL設(shè)計(jì)探究

  • 一.可移植性編碼 1.只使用IEEE標(biāo)準(zhǔn)類型(VHDL):(1)使用STD_LOGIC類型,而不是STD_ULOGIC類型;(2)設(shè)計(jì)中不要?jiǎng)?chuàng)建過(guò)多的的子類型;(3)不要使用BIT和BIT_VECTOR類型。 2.不使用立即數(shù):在設(shè)計(jì)中,不要使用立即數(shù)(但作為例外,可使用0和1),推薦使用常量。使用常量有以下優(yōu)點(diǎn):(1)常量對(duì)于一個(gè)設(shè)計(jì)具有更多的靈活性;(2)常量值只需要在一個(gè)地方修改;(3)編譯器可能只支持常量類型,不支持立即數(shù)。 3.對(duì)于VHDL程序,把常數(shù)和參數(shù)定義在由1個(gè)或多個(gè)文件組成的程序
  • 關(guān)鍵字: HDL 設(shè)計(jì) 可編程  

一種基于FPGA的準(zhǔn)單輸入調(diào)變序列生成器設(shè)計(jì)

  •   1.引言   隨著集成電路復(fù)雜度越來(lái)越高,測(cè)試開(kāi)銷在電路和系統(tǒng)總開(kāi)銷中所占的比例不斷上升,測(cè)試方法的研究顯得非常突出。目前在測(cè)試源的劃分上可以采用內(nèi)建自測(cè)試或片外測(cè)試。內(nèi)建自測(cè)試把測(cè)試源和被測(cè)電路都集成在芯片的內(nèi)部,對(duì)于目前SOC級(jí)的芯片測(cè)試如果采用內(nèi)建自測(cè)試則付出的硬件面積開(kāi)銷則是很大的,同時(shí)也增加了芯片設(shè)計(jì)的難度:因此片外測(cè)試便成為目前被普遍看好的方法。由于FPGA具有可重構(gòu)的靈活性,利用FPGA來(lái)作為測(cè)試源實(shí)現(xiàn)片外測(cè)試就是一種非常有效的手段。   由于偽隨機(jī)模式測(cè)試只需要有限個(gè)數(shù)的輸入向量便
  • 關(guān)鍵字: 嵌入式系統(tǒng)  單片機(jī)  FPGA  序列生成器  Verilog  HDL  MCU和嵌入式微處理器  

基于SOPC的視頻編解碼IP核的設(shè)計(jì)

  • 摘  要:本論文介紹視頻編解碼IP核在SOPC中的設(shè)計(jì),用Verliog HDL實(shí)現(xiàn)其各個(gè)功能子模塊,全部調(diào)試仿真通過(guò)合并成一個(gè)模塊,實(shí)現(xiàn)了視頻信號(hào)的采集,分配,存儲(chǔ)以及色度空間的轉(zhuǎn)換。整個(gè)模塊都通過(guò)仿真實(shí)現(xiàn)與驗(yàn)證,很好的達(dá)到了系統(tǒng)的要求。關(guān)鍵字:SOPC;視頻編解碼;IP核;Verilog HDL  引言 基于Nios II軟核的SOPC是Altera公司提出的片上可編程系統(tǒng)解決方案,它將CPU、存儲(chǔ)器、I/O接口、DSP模塊以及鎖相環(huán)的系統(tǒng)設(shè)
  • 關(guān)鍵字: 嵌入式系統(tǒng)  單片機(jī)  SOPC  頻編解碼  SOPC  視頻編解碼  IP核  Verilog  HDL  

單片機(jī)軟硬件聯(lián)合仿真解決方案

  •   摘要:本文介紹一種嵌入式系統(tǒng)仿真方法,通過(guò)一種特殊設(shè)計(jì)的指令集仿真器ISS將軟件調(diào)試器軟件Keil uVision2和硬件語(yǔ)言仿真器軟件Modelsim連接起來(lái),實(shí)現(xiàn)了軟件和硬件的同步仿真。     關(guān)鍵詞:BFM,TCL,Verilog,Vhdl,PLI,Modelsim,Keil uVision2,ISS,TFTP,HTTP,虛擬網(wǎng)卡,Sniffer,SMART MEDIA,DMA,MAC,SRAM,CPLD   縮略詞解釋:   BFM:總線功能模塊。在HDL
  • 關(guān)鍵字: BFM  TCL  Verilog  Vhdl  PLI  Modelsim  MCU和嵌入式微處理器  

基于Verilog HDL的FIR數(shù)字濾波器設(shè)計(jì)與仿真

  • 引言:數(shù)字濾波器是語(yǔ)音與圖像處理、模式識(shí)別、雷達(dá)信號(hào)處理、頻譜分析等應(yīng)用中的一種基本的處理部件,它能滿足波器對(duì)幅度和相位特性的嚴(yán)格要求,避免模擬濾波器所無(wú)法克服的電壓漂移、溫度漂移和噪聲等問(wèn)題。有限沖激響應(yīng)(FIR)濾波器能在設(shè)計(jì)任意幅頻特性的同時(shí)保證嚴(yán)格的線性相位特性。   一、FIR數(shù)字濾波器   FIR濾波器用當(dāng)前和過(guò)去輸入樣值的加權(quán)和來(lái)形成它的輸出,如下所示的前饋差分方程所描述的。   FIR濾波器又稱為移動(dòng)均值濾波器,因?yàn)槿魏螘r(shí)間點(diǎn)的輸出均依賴于包含有最新的M個(gè)輸入樣值的一個(gè)窗。
  • 關(guān)鍵字: 嵌入式系統(tǒng)  單片機(jī)  Verilog  HDL  FIR  數(shù)字濾波器  嵌入式  
共202條 13/14 |‹ « 5 6 7 8 9 10 11 12 13 14 »

verilog hdl介紹

Verilog HDL是一種硬件描述語(yǔ)言(HDL:Hardware Discription Language),是一種以文本形式來(lái)描述數(shù)字系統(tǒng)硬件的結(jié)構(gòu)和行為的語(yǔ)言,用它可以表示邏輯電路圖、邏輯表達(dá)式,還可以表示數(shù)字邏輯系統(tǒng)所完成的邏輯功能。   Verilog HDL和VHDL是目前世界上最流行的兩種硬件描述語(yǔ)言,都是在20世紀(jì)80年代中期開(kāi)發(fā)出來(lái)的。前者由Gateway Design Aut [ 查看詳細(xì) ]

熱門主題

樹(shù)莓派    linux   
關(guān)于我們 - 廣告服務(wù) - 企業(yè)會(huì)員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國(guó)際技術(shù)信息咨詢有限公司
備案 京ICP備12027778號(hào)-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473