新聞中心

EEPW首頁(yè) > EDA/PCB > 設(shè)計(jì)應(yīng)用 > 基于FPGA玻璃缺陷圖像采集處理系統(tǒng)

基于FPGA玻璃缺陷圖像采集處理系統(tǒng)

作者: 時(shí)間:2009-11-11 來(lái)源:網(wǎng)絡(luò) 收藏

2.2 圖像低級(jí)處理的實(shí)現(xiàn)
圖像中,底層的圖像預(yù)處理(濾波、銳化)的數(shù)據(jù)量很大,要求運(yùn)算速度很快,但運(yùn)算結(jié)構(gòu)相對(duì)比較簡(jiǎn)單,適應(yīng)于通過(guò)硬件實(shí)現(xiàn)。而在數(shù)字信號(hào)處理中,卷積器經(jīng)常被用于圖像濾波、邊緣檢測(cè)、圖像銳化,在檢測(cè)系統(tǒng)中,對(duì)采集的圖像進(jìn)行濾波去噪處理,以降低后續(xù)處理運(yùn)算的壓力。設(shè)對(duì)于兩個(gè)長(zhǎng)度分別為m和n的序列f(i)和g(j),于是可以給出一個(gè)長(zhǎng)度為N=m+n-1輸出序列:


設(shè)f(i)為模板序列{ω1,ω2,…,ωk},g(j)為輸入序列{x1,x2,…,xn},模板匹配后的結(jié)果序列為{y1,y2,…,yn-k+1},則:


上式顯然與常規(guī)意義下的卷積有所不同,但只要將{ω1,ω2,…,ωk}從左到右按鏡像排列預(yù)置于模塊中即可。可以看出,每個(gè)輸入點(diǎn)xi都要與模塊中的所有元素進(jìn)行累加和相乘。
VHDL程序頂層文件代碼如下:



關(guān)鍵詞: FPGA 玻璃 缺陷 處理系統(tǒng)

評(píng)論


相關(guān)推薦

技術(shù)專區(qū)

關(guān)閉