新聞中心

EEPW首頁(yè) > 嵌入式系統(tǒng) > 設(shè)計(jì)應(yīng)用 > 屏幕保護(hù)系統(tǒng)設(shè)計(jì)

屏幕保護(hù)系統(tǒng)設(shè)計(jì)

作者: 時(shí)間:2023-12-18 來(lái)源:電子森林 收藏
  • 任務(wù):基于底板 完成設(shè)計(jì)并觀察調(diào)試結(jié)果
  • 要求:通過(guò)底板上的VGA接口驅(qū)動(dòng)液晶顯示器在800×600@60Hz的模式下顯示,實(shí)現(xiàn)經(jīng)典屏幕保護(hù)的界面效果,讓小腳丫Logo不斷反彈移動(dòng)。
  • 解析:將小腳丫Logo取模得到128×128像素的圖片數(shù)據(jù),通過(guò)FPGA編程驅(qū)動(dòng)VGA液晶顯示器,實(shí)現(xiàn)現(xiàn)經(jīng)典屏幕保護(hù)的界面效果。

根據(jù)前面的實(shí)驗(yàn)解析我們可以得知,該設(shè)計(jì)總體可以拆分成如下功能模塊實(shí)現(xiàn),

  • pll:pll IP核模塊例化,倍頻產(chǎn)生40MHz VGA主頻時(shí)鐘
  • VgaModule:VGA接口驅(qū)動(dòng)模塊,屏保顯示控制 * steprom:圖片取模數(shù)據(jù)存儲(chǔ)器

Top-Down層次設(shè)計(jì)

 

模塊結(jié)構(gòu)設(shè)計(jì)

VGA接口介紹

VGA(Video Graphics Array)是IBM在1987年隨PS/2機(jī)一起推出的一種視頻傳輸標(biāo)準(zhǔn),具有分辨率高、顯示速率快、顏色豐富等優(yōu)點(diǎn),在彩色顯示器領(lǐng)域得到了廣泛的應(yīng)用,VGA接口定義如下:

VGA接口(左側(cè)為公口,右側(cè)為母口)

VGA接口定義如下:

VGA接口定義

一個(gè)標(biāo)準(zhǔn)的VGA接口硬件連接應(yīng)該有以下端口:

  • 紅綠藍(lán)三色信號(hào)(RGB)
  • 行場(chǎng)同步信號(hào)(HSVS)

其中三色信號(hào)(RGB)都是模擬信號(hào),行場(chǎng)同步信號(hào)(HSVS)都是數(shù)字信號(hào)。

對(duì)于VGA的接口模擬電壓(RGB),為0~0.714V范圍峰峰值,0代表無(wú)色,0.714代表滿色,一些非標(biāo)準(zhǔn)的顯示器使用的是1Vpp的滿色電平。三基色信號(hào)源端和終端匹配電阻均為75歐姆,如下圖所示:

VGA三基色匹配電阻示意

FPGA為數(shù)字邏輯器件,想要得到0~0.714V范圍電壓主要有兩種方法,DAC轉(zhuǎn)換方式和電阻分壓方式,我們的擴(kuò)展板卡上就是采用的電阻分壓的方式,因VGA顯示器端有75歐的下拉電阻,為了得到0.714V的電壓我們給RGB信號(hào)線上串入270歐姆的電阻,3.3V*75/(270+75)=0.717V。如下圖所示:

VGA電阻分壓方式示意

當(dāng)FPGA驅(qū)動(dòng)輸出高電平(3.3V)時(shí),模擬分壓為0.714V,為滿色,當(dāng)FPGA驅(qū)動(dòng)輸出低電平(0V)時(shí),模擬分壓為0V,為無(wú)色,這樣RGB三基色都對(duì)應(yīng)兩種狀態(tài)輸出,共有2^3=8種顏色輸出。

VGA 接口時(shí)序是對(duì)其實(shí)現(xiàn)驅(qū)動(dòng)與控制的關(guān)鍵所在,也是難點(diǎn)所在。難不光難在時(shí)序的產(chǎn)生,更多的是在于處理速度上的問(wèn)題。VGA掃描顯示其實(shí)就是兩條線,一個(gè)是行掃描,一個(gè)是場(chǎng)掃描,在行有效和場(chǎng)有效的時(shí)候把數(shù)據(jù)發(fā)送給VGA即可顯示了。顯示標(biāo)準(zhǔn)就是行分辨率x列分辨率@60hz即一秒屏幕刷新60次,以800×600@60Hz模式為例,即行為800個(gè)像素,場(chǎng)為600個(gè)像素。

VGA 800×600@60Hz模式示意

顯示器掃描一般采用逐行掃描的方式實(shí)現(xiàn):逐行掃描是掃描從屏幕左上角一點(diǎn)開(kāi)始,從左像右逐點(diǎn)掃描,每掃描完一行,電子束回到屏幕的左邊下一行的起始位置,在這期間,CRT對(duì)電子束進(jìn)行消隱,行與行之間的返回過(guò)程稱為水平消隱,也稱行消隱(HBlank),每行結(jié)束時(shí),用行同步信號(hào)進(jìn)行同步;當(dāng)掃描完所有的行,形成一幀,掃描點(diǎn)掃描完一幀后,要從圖像的右下角返回到圖像的左上角,開(kāi)始新一幀的掃描,這一時(shí)間間隔,叫做垂直消隱,也稱場(chǎng)消隱(VBlank),用場(chǎng)同步信號(hào)進(jìn)行場(chǎng)同步。

VGA行場(chǎng)消隱示意

VGA掃描時(shí)序圖

VGA顯示常用模式列舉如下:

VGA顯示模式

VGA模塊硬件連接

以下是底板上的VGA模塊電路,其電路圖如下:

VGA模塊電路

底板上的VGA顯示電路與1.8寸串行彩色液晶屏電路復(fù)用部分FPGA管腳,兩者不能同時(shí)使用,當(dāng)使用VGA接口模塊電路時(shí),F(xiàn)PGA直接驅(qū)動(dòng)VGA接口完成VGA液晶顯示器控制即可。VGA硬件采用電阻分壓方式連接,每個(gè)基色智能顯示無(wú)色或滿色,所以顯示效果最多有2^3=8種顏色顯示(包含黑色)。

VGA模塊驅(qū)動(dòng)設(shè)計(jì)

端口列表中三基色控制管腳定義為vga[2:0],高位到低位依次接紅綠藍(lán),那么8中顏色對(duì)應(yīng)的數(shù)據(jù)如下:

output reg [2:0] vga;    // vga,MSB~LSB = {R,G,B}
localparam  RED = 3'b100, GREEN = 3'b010, BLUE = 3'b001;
localparam  YELLOW = 3'b110, CYAN = 3'b011, PURPLE = 3'b101;
localparam  WHITE = 3'b111, BLACK = 3'b000;

本實(shí)驗(yàn)使用800×600@60Hz的VGA顯示模式,首先將該VGA顯示模式下的參數(shù)定義,在40MHz的主頻下,參數(shù)如下:

水平方向


同步脈沖 Thp后廊 Thb有效線數(shù) Thd前廊 Thf
1288880040

垂直方向


同步脈沖 Thp后廊 Thb有效線數(shù) Thd前廊 Thf
4236001

將參數(shù)定義,更改VGA顯示模式時(shí),只需要更改下面參數(shù),參數(shù)定義如下:

//-- Horizonal timing information
`define HSYNC_A   16'd128   // 128
`define HSYNC_B   16'd216   // 128 + 88
`define HSYNC_C   16'd1016  // 128 + 88 + 800
`define HSYNC_D   16'd1056  // 128 + 88 + 800 + 40
//-- Vertical  timing information
`define VSYNC_O   16'd4     // 4 
`define VSYNC_P   16'd27    // 4 + 23
`define VSYNC_Q   16'd627   // 4 + 23 + 600
`define VSYNC_R   16'd628   // 4 + 23 + 600 + 1

根據(jù)VGA掃描的時(shí)序,在40MHz主頻時(shí)鐘下,每一行需要1056個(gè)主頻時(shí)鐘周期的時(shí)間,而每一幀需要628行掃描時(shí)間,我們定義兩個(gè)計(jì)數(shù)器,分別對(duì)主頻時(shí)鐘和行掃描進(jìn)行計(jì)數(shù),程序?qū)崿F(xiàn)如下:

reg [15:0] x_cnt,y_cnt;
always @ (posedge clk or negedge rst_n)  // Count for HSYNC
    if(!rst_n) x_cnt <= 16'd1;
    else if(x_cnt == `HSYNC_D) x_cnt <= 16'd1;else x_cnt <= x_cnt + 1'b1; 
    always @ (posedge clk or negedge rst_n) // Count for VSYNC
    if(!rst_n) y_cnt <= 16'd1;
    else if(x_cnt == `HSYNC_D) begin
        if(y_cnt == `VSYNC_R) y_cnt <= 16'd1;
        else y_cnt <= y_cnt + 1'b1;
    end else y_cnt <= y_cnt;

當(dāng)行計(jì)數(shù)器xcnt計(jì)數(shù)到1056且場(chǎng)計(jì)數(shù)器ycnt計(jì)數(shù)到628時(shí),就是VGA掃描一幀的時(shí)間,行計(jì)數(shù)和場(chǎng)計(jì)數(shù)開(kāi)始的時(shí)候?yàn)橥叫盘?hào),行場(chǎng)同步信號(hào)端口輸出,根據(jù)時(shí)序要求程序?qū)崿F(xiàn)如下:

output  reg         sync_v;     // sync_v
output  reg         sync_h;     // sync_h 
always @ (posedge clk or negedge rst_n) // HSYNC signal
    if(!rst_n) sync_h <= 1'b1;
    else if(x_cnt <= `HSYNC_A) sync_h <= 1'b0;
    else sync_h <= 1'b1;  
    always @ (posedge clk or negedge rst_n) // VSYNC signal
    if(!rst_n) sync_v <= 1'b1;
    else if(y_cnt <= `VSYNC_O) sync_v <= 1'b0;
    else sync_v <= 1'b1;

行同步和場(chǎng)同步的信號(hào)有了,接下來(lái)就是三基色數(shù)據(jù)的控制了,如果整個(gè)掃描過(guò)程中三基色端口一直輸出紅色數(shù)據(jù),那么我們就可以看到整個(gè)顯示器顯示紅色,整個(gè)掃描過(guò)程分為消隱區(qū)和顯示區(qū),只有在顯示區(qū)的數(shù)據(jù)才能顯示出來(lái),落在消隱區(qū)的顏色數(shù)據(jù)沒(méi)有任何意義,顯示區(qū)就是當(dāng)行場(chǎng)計(jì)數(shù)器都在對(duì)應(yīng)有效線數(shù)的區(qū)間。即是說(shuō),如果我們讓三基色端口只在行計(jì)數(shù)器xcnt計(jì)數(shù)在216~1056之間且場(chǎng)計(jì)數(shù)器ycnt計(jì)數(shù)在27~627之間時(shí)輸出紅色數(shù)據(jù),依然可以看到整個(gè)顯示器顯示紅色。

圖片坐標(biāo)軌跡區(qū)間

屏幕保護(hù)實(shí)驗(yàn)需要小腳丫Logo圖片顯示并反彈移動(dòng),圖片顯示在液晶顯示器上我們需要知道圖片所在顯示區(qū)的坐標(biāo),圖片寬度和高度已知,我們以圖片左上角的像素點(diǎn)作為基點(diǎn),就可以知道圖片ram數(shù)據(jù)中每個(gè)數(shù)據(jù)對(duì)應(yīng)的坐標(biāo),假設(shè)我們知道了圖片基點(diǎn)的坐標(biāo)為(xset,yset)。圖片的顯示程序?qū)崿F(xiàn)如下:

注:這里講的坐標(biāo)是是以行計(jì)數(shù)器xcnt和場(chǎng)計(jì)數(shù)器ycnt為基準(zhǔn)的。

`define P_WIDTH 8'd128  // 圖片像素的水平寬度
`define P_DEPTH 8'd128  // 圖片像素的垂直高度
always @ (posedge clk or negedge rst_n) // rom address
    if(!rst_n) rom_addr <= 1'b0;
    else if((x_cnt>=x_set)&(x_cnt<(x_set+`P_WIDTH))&(y_cnt>=y_set)&(y_cnt<(y_set+`P_DEPTH)))
        rom_addr <= y_cnt - y_set;else rom_addr <= rom_addr; 
        always @ (posedge clk or negedge rst_n) // rom data display
    if(!rst_n) vga <= BLACK;
    else if((x_cnt>=x_set)&(x_cnt<(x_set+`P_WIDTH))&(y_cnt>=y_set)&(y_cnt<(y_set+`P_DEPTH)))
        if(rom_data[x_cnt - x_set]) vga <= color;
        else vga <= BLACK;
    else vga <= BLACK;

圖片可能顯示在屏幕的任何位置,那么基點(diǎn)(xset,yset)的移動(dòng)軌跡范圍為上圖中紅色虛線框區(qū)域,只要控制基點(diǎn)移動(dòng)和反彈就可以實(shí)現(xiàn)圖片的移動(dòng)和反彈,這里需要考慮兩個(gè)參數(shù):移動(dòng)速度和反彈方向。

移動(dòng)速度

移動(dòng)速度就是基點(diǎn)(xset,yset)變化的速度,我們?cè)O(shè)置一個(gè)計(jì)數(shù)器延遲來(lái)控制基點(diǎn)的變化速度,cnt的計(jì)數(shù)周期為2^19 * 1000ms / 12000000 = 44ms,基點(diǎn)坐標(biāo)每秒移動(dòng)次數(shù)為1s / 44ms = 23次,計(jì)數(shù)程序?qū)崿F(xiàn)如下:

reg         [18:0]  cnt;
always @ (posedge clk or negedge rst_n)  // delay count
    if(!rst_n) cnt <= 1'b0;
    else cnt <= cnt + 1'b1;

反彈方向

屏幕保護(hù)圖片碰到顯示器邊沿會(huì)反彈,反彈效果同鏡面反射一樣,與邊沿平行方向不變,垂直方向反向,所以行方向和場(chǎng)方向的反彈控制是相互獨(dú)立的,實(shí)現(xiàn)方法相同,這里我們以行(水平)方向的控制為例,程序?qū)崿F(xiàn)如下:

always @ (posedge clk or negedge rst_n) //水平方向反彈標(biāo)志
    if(!rst_n) x_flag <= 1'b1;
    else if(x_set == `HSYNC_B) x_flag <= 1'b1; 
    else if(x_set == (`HSYNC_C - `P_WIDTH)) x_flag <= 1'b0;
    else x_flag <= x_flag; 
    always @ (posedge clk or negedge rst_n) //根據(jù)水平方向反彈標(biāo)志移動(dòng)基點(diǎn)
    if(!rst_n) x_set <= `HSYNC_B;
    else if(!cnt)  //控制基點(diǎn)行坐標(biāo)x_set的變化速度
        if(x_flag) x_set <= x_set + 1'b1; //根據(jù)水平方向反彈標(biāo)志移動(dòng)基點(diǎn) 
        else x_set <= x_set - 1'b1;
    else x_set <= x_set;

系統(tǒng)總體實(shí)現(xiàn)

例化pll IP核得到40MHz時(shí)鐘信號(hào),提供給VGA驅(qū)動(dòng)模塊做時(shí)鐘信號(hào),例化配置方法在簡(jiǎn)易電壓表實(shí)驗(yàn)中有講解,這里不再重復(fù)。

屏幕保護(hù)圖片數(shù)據(jù)的ram模塊,提供小腳丫Logo圖片數(shù)據(jù),圖片顯示系統(tǒng)實(shí)驗(yàn)中也有相關(guān)內(nèi)容,調(diào)整一下圖像分辨率的寬度和高度就可以直接使用。

綜合后的設(shè)計(jì)框圖如下:

RTL設(shè)計(jì)框圖

  1. 雙擊打開(kāi)Quartus Prime工具軟件;
  2. 新建工程:File → New Project Wizard(工程命名,工程目錄選擇,設(shè)備型號(hào)選擇,EDA工具選擇);
  3. 新建文件:File → New → Verilog HDL File,鍵入設(shè)計(jì)代碼并保存;
  4. 設(shè)計(jì)綜合:雙擊Tasks窗口頁(yè)面下的Analysis & Synthesis對(duì)代碼進(jìn)行綜合;
  5. 管腳約束:Assignments → Assignment Editor,根據(jù)項(xiàng)目需求分配管腳;
  6. 設(shè)計(jì)編譯:雙擊Tasks窗口頁(yè)面下的Compile Design對(duì)設(shè)計(jì)進(jìn)行整體編譯并生成配置文件;
  7. 程序燒錄:點(diǎn)擊Tools → Programmer打開(kāi)配置工具,Program進(jìn)行下載;
  8. 觀察設(shè)計(jì)運(yùn)行結(jié)果。

將程序加載到FPGA中,使用VGA線連接液晶顯示器和FPGA底板,觀察顯示現(xiàn)象。小腳丫Logo圖片在顯示屏上移動(dòng),到達(dá)邊沿后反彈,每次反彈都會(huì)顏色改變,共有6中顏色。



評(píng)論


相關(guān)推薦

技術(shù)專區(qū)

關(guān)閉