新聞中心

EEPW首頁(yè) > 嵌入式系統(tǒng) > 設(shè)計(jì)應(yīng)用 > 基于FPGA的DDR3多端口讀寫存儲(chǔ)管理系統(tǒng)設(shè)計(jì)

基于FPGA的DDR3多端口讀寫存儲(chǔ)管理系統(tǒng)設(shè)計(jì)

作者: 時(shí)間:2015-03-28 來(lái)源:網(wǎng)絡(luò) 收藏

  5驗(yàn)證結(jié)果與分析

本文引用地址:http://butianyuan.cn/article/271735.htm

  圖形生成寫中斷處理仿真圖如圖10所示。由于圖形生成數(shù)據(jù)不是從左往右連續(xù)進(jìn)行的,因此每次突發(fā)寫操作發(fā)送的128位數(shù)據(jù)(BL=8),有效的數(shù)據(jù)只有低16位,高112位直接用掩碼屏蔽(app_wdf_mask=16‘hfffc)。當(dāng)一幀圖形全部繪制完成后發(fā)送圖形生成模塊寫請(qǐng)求(graphics_done=1)。此時(shí)圖形中斷處理器執(zhí)行直接結(jié)果寫中斷(graphics_wr_interrupt=1),視頻中斷處理器執(zhí)行插值背景讀中斷(graphics_wr_interrupt_rd_bk=1)。當(dāng)兩者同時(shí)完成(rd_bk_video_finish=1)時(shí),圖形中斷處理器執(zhí)行插值結(jié)果寫請(qǐng)求中斷。其中,c0_app_XXX表示圖形存儲(chǔ)的用戶接口,寫圖形數(shù)據(jù)時(shí),用戶接口地址系統(tǒng)和數(shù)據(jù)系統(tǒng)是對(duì)齊的;c1_app_XXX表示視頻存儲(chǔ)的用戶接口,讀視頻背景時(shí),數(shù)據(jù)系統(tǒng)比地址系統(tǒng)稍有延遲。

  

 

  圖10 圖形生成寫中斷處理波形圖

  用本文設(shè)計(jì)的存儲(chǔ)管理系統(tǒng)對(duì)文獻(xiàn)[9]中圖6.1進(jìn)行中斷處理。視頻分辨率為1600×1200;繪制字符等直接結(jié)果點(diǎn)共812個(gè)像素(矩形填充忽略不算);繪制斜線等插值結(jié)果點(diǎn)共有4762個(gè)像素。用本文算法測(cè)試各中斷處理時(shí)間如表2所示。

  

 

  表2 中斷處理時(shí)間表

  視頻中斷處理器中,視頻處理寫中斷將一行視頻處理數(shù)據(jù)順序?qū)懭氲紻DR3中耗時(shí)1.1us,則將一幀視頻處理數(shù)據(jù)寫入DDR3中耗時(shí)1.32ms;視頻輸出讀中斷從DDR3讀出1行視頻數(shù)據(jù)耗時(shí)1us,則將一幀視頻讀出需要1.2ms;插值背景讀耗時(shí)54.2us.視頻處理中斷共耗時(shí)2.5742ms.圖形處理中斷中,圖形輸出讀中斷讀出1行圖形數(shù)據(jù),并將其內(nèi)存空間清零,共需要2.1us,即將一幀圖形讀出需要2.52ms,則圖形處理中斷共耗時(shí)2.5851ms.

  與文獻(xiàn)[9]結(jié)果相比,本文設(shè)計(jì)的系統(tǒng)對(duì)圖形生成讀寫中斷速度有了明顯提高。因?yàn)槲墨I(xiàn)[9]中斷類型較多,且圖形生成中斷的優(yōu)先級(jí)最低,在實(shí)現(xiàn)的過(guò)程中會(huì)多次被打斷,導(dǎo)致圖形生成執(zhí)行時(shí)間較長(zhǎng);而本文算法中,插值背景讀操作與直接結(jié)果寫操作同時(shí)在視頻中斷處理和圖形中斷處理中進(jìn)行,利用并行操作減少時(shí)間,并大大降低了復(fù)雜度。

  結(jié)論

  本文設(shè)計(jì)并實(shí)現(xiàn)了基于的DDR3多端口存儲(chǔ)管理,主要包括DDR3存儲(chǔ)器控制模塊、DDR3用戶接口仲裁控制模塊和幀地址控制模塊。DDR3存儲(chǔ)器控制模塊采用Xilinx公司的MIG方案,簡(jiǎn)化DDR3的邏輯控制;DDR3用戶接口仲裁控制模塊將圖形和視頻分別進(jìn)行中斷處理,提高了并行速度,同時(shí)簡(jiǎn)化仲裁控制;幀地址控制模塊將DDR3空間進(jìn)行劃分,同時(shí)控制幀地址的切換。

  經(jīng)過(guò)分析,本文將圖形和視頻中斷分開(kāi)處理,簡(jiǎn)化多端口讀寫DDR3的復(fù)雜度,提高并行處理速度。

fpga相關(guān)文章:fpga是什么


存儲(chǔ)器相關(guān)文章:存儲(chǔ)器原理



上一頁(yè) 1 2 3 4 下一頁(yè)

關(guān)鍵詞: FPGA DDR3

評(píng)論


相關(guān)推薦

技術(shù)專區(qū)

關(guān)閉