新聞中心

EEPW首頁 > EDA/PCB > 設(shè)計應(yīng)用 > 基于FPGA的電機測速系統(tǒng)設(shè)計

基于FPGA的電機測速系統(tǒng)設(shè)計

作者: 時間:2013-08-23 來源:網(wǎng)絡(luò) 收藏

摘要:研究的是基于。該設(shè)計以有源晶振來產(chǎn)生時基信號,利用歐姆龍光電編碼器E682-CWZ6C360P/R將轉(zhuǎn)速信號轉(zhuǎn)變?yōu)轭l率信號,采用數(shù)碼管動態(tài)顯示來顯示測量所得的數(shù)值。模塊的編寫是基于Altera公司的Quartus II軟件進行編寫的,采用的芯片型號為EP2C5T144C8N。模塊是利用VHDL語言進行編寫,利用Quartus II軟件自帶的仿真軟件進行仿真,通過觀察仿真波形來驗證模塊是否正確。本設(shè)計可以實現(xiàn)小數(shù)值的方波頻率測量和電機轉(zhuǎn)速測量。
關(guān)鍵詞:FPGA;;VHDL;模塊化設(shè)計

隨著電子設(shè)備及電子產(chǎn)品逐漸向低功耗、小體積、多功能和快速更新的方向發(fā)展,使得電子設(shè)計自動化(EDA)技術(shù)快速發(fā)展。由于成本和功耗的進一步降低,在許多的領(lǐng)域運用到了可編程門陣列即FPGA,它在通信、儀器、網(wǎng)絡(luò)、數(shù)據(jù)處理、工業(yè)控制、軍事和航空航天等眾多領(lǐng)域有著廣泛的應(yīng)用。
現(xiàn)場可編程門陣列即FPGA(Field Programmable Gate Array),是從EPLD、PAL、GAL等這些可編程器件的基礎(chǔ)上進一步發(fā)展起來的。作為專業(yè)集成電路領(lǐng)域中的半定制電路而出現(xiàn)的FPGA,不但解決了定制電路的不足,而且克服了原有可編程器件因門電路數(shù)有限的而產(chǎn)生的缺點。FPGA的使用十分的靈活,同一片F(xiàn)PGA只要使用不同的程序就能夠達到不同的電路功能。現(xiàn)在FPGA在通信、儀器、網(wǎng)絡(luò)、數(shù)據(jù)處理、工業(yè)控制、軍事和航空航天等眾多領(lǐng)域有著廣泛的應(yīng)用。隨著成本和功耗的進一步降低,將在更多的領(lǐng)域運用FPGA。
基于FPGA的,以Quartus II為設(shè)計平臺,采用硬件描述語言VHDL和模塊化設(shè)計的方式,并通過數(shù)碼管驅(qū)動電路動態(tài)顯示測量的結(jié)果。本設(shè)計具有外圍電路少,集成度高,可靠性強等特點,可以用來測量電機的轉(zhuǎn)速值。

1 外圍電路設(shè)計
傳感器將電機轉(zhuǎn)速的模擬信號轉(zhuǎn)換成數(shù)字脈沖信號送入FPGA模塊。同時由基準時鐘電路產(chǎn)生準確的時鐘信號和復(fù)位電路產(chǎn)生的復(fù)位信號送入FPGA模塊。再由FPGA模塊產(chǎn)生分頻電路、十進制計數(shù)器電路、數(shù)據(jù)處理電路和顯示譯碼電路。由分頻電路將送入的基準時鐘信號進行分頻,得到一個閘門信號,作為十進制計數(shù)器的使能信號。數(shù)據(jù)處理電路的作用是將十進制計數(shù)器得到的數(shù)據(jù)進行相應(yīng)的處理后,再送入顯示譯碼電路進行轉(zhuǎn)換譯碼。電機測速系統(tǒng)的總體框圖如圖1所示。外圍電路分為:基準時基電路,復(fù)位電路,傳感器測量電路和顯示電路。

本文引用地址:http://www.butianyuan.cn/article/189520.htm

a.JPG


1.1 基準時基電路設(shè)計
基準時基電路采用50 MHz的有源晶振,3.3 V電源通過FB5接入有源晶振的VCC端口,同時通過C10和C11濾去高頻干擾信號。從OUT端口輸出50 MHz的時鐘信號。晶振電路如圖2所示。
1.2 復(fù)位按鍵的設(shè)計
按鍵作為嵌入式智能控制系統(tǒng)中人機交互的常用接口,我們通常會通過按鍵向系統(tǒng)輸入各種信息,調(diào)整各種參數(shù)或者發(fā)出控制指令,按鍵的處理是一個很重要的功能模塊,它關(guān)系到整個系統(tǒng)的交互性能,同時也影響系統(tǒng)的穩(wěn)定性。在本次設(shè)計中,通過按鍵實現(xiàn)了FPGA模塊的手動復(fù)位。復(fù)位按鍵如圖3所示。

b.JPG

晶振相關(guān)文章:晶振原理

上一頁 1 2 3 4 下一頁

評論


相關(guān)推薦

技術(shù)專區(qū)

關(guān)閉