新聞中心

EEPW首頁 > EDA/PCB > 設(shè)計(jì)應(yīng)用 > 基于EDA技術(shù)的數(shù)字頻率計(jì)的設(shè)計(jì)

基于EDA技術(shù)的數(shù)字頻率計(jì)的設(shè)計(jì)

作者: 時(shí)間:2009-07-15 來源:網(wǎng)絡(luò) 收藏

摘 要:選用Altera公司的可編程邏輯器件EPF10K10LC84-4作為硬件電路。依據(jù)技術(shù)的設(shè)計(jì)思想,運(yùn)用VHDL硬件描述語言和Max+PlusⅡ軟件,針對(duì)的工作原理,對(duì)其各個(gè)部分進(jìn)行編程。該設(shè)計(jì)結(jié)構(gòu)清晰,避免了用原理圖設(shè)計(jì)引起的毛刺現(xiàn)象。實(shí)驗(yàn)證明,該設(shè)計(jì)具有一定的可行性和參考價(jià)值。
關(guān)鍵詞:;;VHDL語言;Max+PlusⅡ軟件

本文引用地址:http://www.butianyuan.cn/article/191996.htm


0 引 言
技術(shù)是以大規(guī)??删幊踢壿嬈骷樵O(shè)計(jì)載體,以硬件語言為系統(tǒng)邏輯描述的主要方式,以計(jì)算機(jī)、大規(guī)??删幊踢壿嬈骷拈_發(fā)軟件及實(shí)驗(yàn)開發(fā)系統(tǒng)為設(shè)計(jì)工具,通過有關(guān)的開發(fā)軟件,自動(dòng)完成用軟件設(shè)計(jì)的電子系統(tǒng)到硬件系統(tǒng)的設(shè)計(jì),最終形成集成電子系統(tǒng)或?qū)S眉尚酒囊婚T新技術(shù)。其設(shè)計(jì)的靈活性使得EDA技術(shù)得以快速發(fā)展和廣泛應(yīng)用。
本文以Max+PlusⅡ軟件為設(shè)計(jì)平臺(tái),采用VHDL語言實(shí)現(xiàn)的整體設(shè)計(jì)。


1 工作原理
眾所周知,頻率信號(hào)易于傳輸,抗干擾性強(qiáng),可以獲得較好的測(cè)量精度。因此,頻率檢測(cè)是電子測(cè)量領(lǐng)域最基本的測(cè)量之一。頻率計(jì)的基本原理是用一個(gè)頻率穩(wěn)定度高的頻率源作為基準(zhǔn)時(shí)鐘,對(duì)比測(cè)量其他信號(hào)的頻率。通常情況下計(jì)算每秒內(nèi)待測(cè)信號(hào)的脈沖個(gè)數(shù),即閘門時(shí)間為1 s。閘門時(shí)間可以根據(jù)需要取值,大于或小于1 s都可以。閘門時(shí)間越長(zhǎng),得到的頻率值就越準(zhǔn)確,但閘門時(shí)間越長(zhǎng),則每測(cè)一次頻率的間隔就越長(zhǎng)。閘門時(shí)間越短,測(cè)得的頻率值刷新就越快,但測(cè)得的頻率精度就受影響。一般取1 s作為閘門時(shí)間。
數(shù)字頻率計(jì)的關(guān)鍵組成部分包括測(cè)頻控制信號(hào)發(fā)生器、計(jì)數(shù)器、鎖存器、譯碼驅(qū)動(dòng)電路和顯示電路,其原理框圖如圖1所示。


2 設(shè)計(jì)分析
2.1 測(cè)頻控制信號(hào)發(fā)生器
測(cè)頻控制信號(hào)發(fā)生器產(chǎn)生測(cè)量頻率的控制時(shí)序,是設(shè)計(jì)頻率計(jì)的關(guān)鍵。這里控制信號(hào)CLK取為1 Hz,2分頻后就是一個(gè)脈寬為1 s的時(shí)鐘信號(hào)FZXH,用來作為計(jì)數(shù)閘門信號(hào)。當(dāng)FZXH為高電平時(shí)開始計(jì)數(shù);在FZXH的下降沿,產(chǎn)生一個(gè)鎖存信號(hào)SCXH,鎖存數(shù)據(jù)后,還要在下次FZXH上升沿到來之前產(chǎn)生清零信號(hào)CLEAR,為下次計(jì)數(shù)做準(zhǔn)備,CLEAR信號(hào)是上升沿有效。
2.2 計(jì)數(shù)器
計(jì)數(shù)器以待測(cè)信號(hào)FZXH作為時(shí)鐘,在清零信號(hào)CLEAR到來時(shí),異步清零;FZXH為高電平時(shí)開始計(jì)數(shù)。本文設(shè)計(jì)的計(jì)數(shù)器計(jì)數(shù)最大值是99 999 999。

2.3 鎖存器
當(dāng)鎖存信號(hào)SCXH上升沿到來時(shí),將計(jì)數(shù)器的計(jì)數(shù)值鎖存,這樣可由外部的七段譯碼器譯碼并在數(shù)碼管上顯示。設(shè)置鎖存器的好處是顯示的數(shù)據(jù)穩(wěn)定,不會(huì)由于周期性的清零信號(hào)而不斷閃爍。鎖存器的位數(shù)應(yīng)跟計(jì)數(shù)器完全一樣,均是32位。
2.4 譯碼驅(qū)動(dòng)電路
本文數(shù)碼管采用動(dòng)態(tài)顯示方式,每一個(gè)時(shí)刻只能有一個(gè)數(shù)碼管點(diǎn)亮。數(shù)碼管的位選信號(hào)電路是74LS138芯片,其8個(gè)輸出分別接到8個(gè)數(shù)碼管的位選;3個(gè)輸入分別接到EPF10K10LC84-4的I/O引腳。
2.5 數(shù)碼管顯示
本文采用8個(gè)共陰極數(shù)碼管來顯示待測(cè)頻率的數(shù)值,其顯示范圍從O~99 999 999。


上一頁 1 2 下一頁

關(guān)鍵詞: EDA 數(shù)字頻率計(jì)

評(píng)論


相關(guān)推薦

技術(shù)專區(qū)

關(guān)閉