新聞中心

EEPW首頁 > 嵌入式系統(tǒng) > 設(shè)計(jì)應(yīng)用 > VHDL設(shè)計(jì)中信號與變量問題的研究

VHDL設(shè)計(jì)中信號與變量問題的研究

作者: 時間:2010-04-14 來源:網(wǎng)絡(luò) 收藏

  在程序中,定義了count,希望為“1010”。通過實(shí)驗(yàn)發(fā)現(xiàn),在定義或信號時直接賦予不能生效(如variable count:std_logic_vector(3 downto 0) :=“1010”),它的仍然是系統(tǒng)默認(rèn)值(如count為“0000”)。正是利用這一點(diǎn),通過init(初始值為''0'')來給count賦初值 A即“1010”,具體方法見程序中斜體部分。這樣,在第一個脈沖來時執(zhí)行斜體部分if語句,而第二個脈沖來時由于init不為''0''而是 ''1'',因此不執(zhí)行該部分語句,從而實(shí)現(xiàn)為count賦初值的功能,這樣程序從A開始進(jìn)行數(shù)字的循環(huán)顯示。

  如果把count類型改為signal,則結(jié)果將大不一樣。

  signal count: std_logic_vector(3 downto 0);

  process(clk)

  variable init :std_logic;

  begin

  if (clk''event) and (clk=''1'') then

  if (init = ''0'') then

  count= "1001"; --(1)

  init := ''1'

  end if;

  count=count+1; --(2)

  由于信號的賦值不是立即發(fā)生的,在語句(1)后面還存在對信號count的賦值操作(2),因此,語句(1)在此不起作用,count的最后值是語句 (2)的值。因此如果將count設(shè)為signal的話,程序?qū)崿F(xiàn)的是從0開始的16個十六進(jìn)制數(shù)的循環(huán)。在這里,對信號賦初值的語句是不可行的。

  仿真結(jié)果

  將好的程序在Altera公司提供的軟件maxplusⅡ10.1環(huán)境下進(jìn)行編譯仿真,得到的仿真結(jié)果如圖1、圖2所示,其中圖1是 count為的結(jié)果,圖2是count為信號的結(jié)果,其中輸出y[6...0]分別與七段數(shù)碼管的abcdefg七段相連。

  從圖1可以看出,在第一個時鐘脈沖上升沿,結(jié)果是“1110111”,數(shù)碼管顯示即為A,然后依次為b,C,d, E,F,0,1...9,A...循環(huán)下去,此處用小寫的b和d,主要是與數(shù)字8進(jìn)行區(qū)別。

  從圖中可以看出,在第一個時鐘脈沖上升沿,結(jié)果是“1111110”,數(shù)碼管顯示即為0,然后依次示1...9,A, b,C,d,E,F,0,...循環(huán)下去。


上一頁 1 2 3 下一頁

關(guān)鍵詞: VHDL 設(shè)計(jì) 變量 初始值

評論


相關(guān)推薦

技術(shù)專區(qū)

關(guān)閉