首頁  資訊  商機   下載  拆解   高校  招聘   雜志  會展  EETV  百科   問答  電路圖  工程師手冊   Datasheet  100例   活動中心  E周刊閱讀   樣片申請
EEPW首頁 >> 主題列表 >> avant fpga

基于FPGA的AD9910控制設計

  • 為了滿足目前對數據處理速度的需求,設計了一種基于FPGA+DDS的控制系統(tǒng)。根據AD9910的特點設計了控制系統(tǒng)的硬件部分,詳細闡述了電源、地和濾波器的設計。設計了FPGA的軟件控制流程,給出了流程圖和關鍵部分的例程,并對DDS AD9910各個控制寄存器的設置與時序進行詳細說明,最后給出了實驗結果。實驗結果證明輸出波形質量高、效果好。對于頻率源的設計與實現具有工程實踐意義。
  • 關鍵字: FPGA  9910  AD  控制設計    

基于FPGA的跳擴頻信號發(fā)送系統(tǒng)設計

  • 提出一種基于FPGA的跳擴頻信號發(fā)送系統(tǒng)設計方案,系統(tǒng)硬件以FPGA為核心,將基帶處理和中頻調制完全集成在FPGA芯片內部,采用新型的高速DDS(Direct Digital Syntlaesis)AD9951芯片和高速數模轉換器來輔助電路完成信號的產生和發(fā)送。介紹了系統(tǒng)軟件控制流程,以及系統(tǒng)設計中關鍵技術的研究與實現。系統(tǒng)軟件利用QuanusⅡ8.0開發(fā)平臺,使用VHDL語言設計實現。借助Matlab和Multisire 10.1高頻電路仿真軟件分析和優(yōu)化系統(tǒng)。系統(tǒng)采用數字化的相對相移鍵控(DQPSK)
  • 關鍵字: FPGA  擴頻信號  發(fā)送  系統(tǒng)設計    

基于FPGA的高速數據處理系統(tǒng)設計

  • 針對光纖微擾動傳感器的高速數據處理問題,設計一種以XC4VSX25為核心,具有數據采集功能、存儲功能、LCD顯示功能和USB通信功能的系統(tǒng)。利用XC4VSX25帶有的XtremeDSP IP核,通過并行運算解決高速實時數據處理問題,并且通過Verilog HDL語言設計串行結構和并行結構,并在ModelSim中對兩種結構進行仿真比較。結果表明,本系統(tǒng)中并型結構的計算速度是正比于并行度的,可以提高系統(tǒng)處理速度。
  • 關鍵字: FPGA  高速數據  處理系統(tǒng)    

基于FPGA的高階音頻均衡濾波器設計

  • 摘要:文中設計的均衡濾波器充分利用FPGA內部資源、時間換取空間的方法,在EP1C3系列的FPGA內實現1 024階FIR數字均衡濾波器,并通過重載系數,可實現多種頻率響應的均衡特性、簡易數字均衡濾波器的功能,達到了設計目
  • 關鍵字: FPGA  音頻  均衡  濾波器設計    

賽靈思和Synopsys聯手推出業(yè)界首部開發(fā)方法手冊

  •   全球可編程邏輯解決方案領先廠商賽靈思公司(NASDAQ:XLNX))今日宣布與全球半導體設計、驗證和制造領域的軟件及 IP 領先廠商Synopsys公司(NASDAQ:SNPS)聯手推出《 FPGA的原型開發(fā)方法手冊》(FPMM),這是一本介紹如何使用 FPGA 作為平臺進行片上系統(tǒng)(SoC)開發(fā)的實用指南。FPMM 收錄了眾多公司的設計團隊在設計和驗證方面的寶貴經驗。
  • 關鍵字: 賽靈思   FPGA   

大規(guī)?,F場可編程門陣列(FPGA)開發(fā)系統(tǒng)電源設計研究

  • 摘要:以Xilinx的FPGA為例,介紹了FPGA開發(fā)系統(tǒng)的電源要求和功耗,并給出了采用線性低壓降(LDO)穩(wěn)壓器,DC/DC調整器,DC/DC控制器和電源模塊等幾種電源解決方案。 關鍵詞:現場可編程門陣列;電源設計;DC/DC變換器
  • 關鍵字: 系統(tǒng)  電源  設計  研究  開發(fā)  FPGA  現場  可編程  陣列  大規(guī)模  

NI發(fā)布全新 LabWindows?/CVI 2010

  •   美國國家儀器有限公司(National Instruments,簡稱NI)近日發(fā)布了全新NI LabWindows/CVI 2010,該軟件可基于驗證過的ANSI C測試測量軟件平臺,提供更高的開發(fā)效率,并簡化FPGA通信的復雜度。此外,NI還發(fā)布了LaWindows/CVI 2010 Linux Run-Time模塊和LabWindows/CVI 2010實時模塊,可擴展開發(fā)環(huán)境至Linux和實時操作系統(tǒng)中。
  • 關鍵字: NI  FPGA  

28納米FPGA: 降低功耗 提高帶寬

  •   低功耗和高帶寬是下一代高端設計的兩個主要需求。對全球范圍多個應用領域的調研表明,以相同甚至更低功耗及成本來實現更大的帶寬已成為大勢所趨。現在應對帶寬不斷增長的技術是演進中的40G和100G系統(tǒng)(以及即將出現的400G系統(tǒng))。設計下一代FPGA來滿足目前對寬帶和低功耗需求的難度越來越大?! ?/li>
  • 關鍵字: Altera  FPGA  

基于FPGA的CMI編碼系統(tǒng)設計

  • 摘要:提出了一種基于FPGA并利用Verilog HDL實現的CMI編碼設計方法。研究了CMI碼型的編碼特點,提出了利用Altera公司CycloneⅡ系列EP2C5Q型號FPGA完成CMI編碼功能的方案。在系統(tǒng)程序設計中,首先產生m序列,然后程序
  • 關鍵字: FPGA  CMI  編碼  系統(tǒng)設計    

FPGA實現時分多址的一種改進型方法

  • 摘要:利用FPGA實現時分多址的方法有很多種,但大多數方法都對FPGA芯片資源的占用非常巨大。針對這一問題,提出一種改進型方法來實現時分多址。通過使用FPGA芯片內部的雙口隨機訪問存儲器(雙口RAM),利用同一塊RAM采
  • 關鍵字: FPGA  時分  多址  改進型    

基于FPGA的數據采集系統(tǒng)的設計與實現

  • 摘要:基于FPGA和USB2.0的技術方案,設計了一種高速化和集成化的數據采集系統(tǒng)。該系統(tǒng)是以Altera公司的FPGA芯片EP2C5T144為主控芯片,以Cypress公司的EZ-USB FX2芯片為傳輸手段設計實現的。首先詳細介紹了整體系統(tǒng)的
  • 關鍵字: FPGA  數據采集系統(tǒng)    

基于FPGA+DSP的雷達高速數據采集系統(tǒng)的實現

  • 摘要:激光雷達的發(fā)射波及回波信號經光電器件轉換形成的電信號具有脈寬窄,幅度低,背景噪聲大等特點,對其進行低速數據采集存在數據精度不高等問題。同時,A/D轉換器與數字信號處理器直接連接會導致數據傳輸不
  • 關鍵字: FPGA  DSP  雷達  高速數據    

數字基帶傳輸系統(tǒng)的FPGA設計與實現

  • 摘要:為了提高系統(tǒng)的集成度和可靠性,降低功耗和成本,增強系統(tǒng)的靈活性,提出一種采用非常高速積體電路的硬件描述語言(VHDL語言)來設計數字基帶傳輸系統(tǒng)的方法。詳細闡述數字基帶傳輸系統(tǒng)中信號碼型的設計原則,數
  • 關鍵字: FPGA  數字基帶  傳輸系統(tǒng)    

Altera有望2012年成FPGA龍頭

  •   市場分析師預測,全球營收排名第二大的可程序化邏輯組件供貨商Altera,有機會在2012年初取代該市場龍頭Xilinx躍上第一大供貨商位置。  
  • 關鍵字: Altera  FPGA  

FPGA實現IRIG-B(DC)碼編碼和解碼的設計

  • 為達到IRIG-B碼與時間信號輸入、輸出的精確同步,采用現代化靶場的IRIG-B碼編碼和解碼的原理,從工程的角度出發(fā),提出了使用現場可編程門陣列(FPGA)來實現IRIG-B碼編碼和解碼的設計方案和體系結構,設計中會涉及到幾個不同的時鐘頻率,FPGA對時鐘的同步性具有靈活性、效率高、且功耗低。抗干擾性好的特點。結果表明,FPGA能夠確保為從設備提供同源的時鐘基準,使時鐘與信號的延遲控制在200 ns以內,從而得到了IRIG-B碼與時間精確同步的效果。
  • 關鍵字: IRIG-B  FPGA  DC  編碼    
共6369條 280/425 |‹ « 278 279 280 281 282 283 284 285 286 287 » ›|

avant fpga介紹

您好,目前還沒有人創(chuàng)建詞條avant fpga!
歡迎您創(chuàng)建該詞條,闡述對avant fpga的理解,并與今后在此搜索avant fpga的朋友們分享。    創(chuàng)建詞條

熱門主題

樹莓派    linux   
關于我們 - 廣告服務 - 企業(yè)會員服務 - 網站地圖 - 聯系我們 - 征稿 - 友情鏈接 - 手機EEPW
Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《電子產品世界》雜志社 版權所有 北京東曉國際技術信息咨詢有限公司
備案 京ICP備12027778號-2 北京市公安局備案:1101082052    京公網安備11010802012473