首頁  資訊  商機   下載  拆解   高校  招聘   雜志  會展  EETV  百科   問答  電路圖  工程師手冊   Datasheet  100例   活動中心  E周刊閱讀   樣片申請
EEPW首頁 >> 主題列表 >> avant fpga

基4-FPGA的大動態(tài)范圍數(shù)字AGC的實現(xiàn)

  • 1 引言
    在數(shù)字中頻接收機中,把A/D轉(zhuǎn)換提前到中頻部分,為保證A/D轉(zhuǎn)換的動態(tài)范圍和系統(tǒng)帶寬,要求低噪聲放大器和自動增益控制AGC(Automatic Gain Control)電路能夠提供大動態(tài)范圍的自動增益控制。AGC系統(tǒng)由可
  • 關(guān)鍵字: FPGA  AGC  動態(tài)范圍  數(shù)字    

基于FPGA的簡易頻譜分析儀

  • 1 引言
    目前,由于頻譜分析儀價格昂貴,高等院校只是少數(shù)實驗室配有頻譜儀。但電子信息類教學(xué),如果沒有頻譜儀輔助觀察,學(xué)生只能從書本中抽象理解信號特征,嚴重影響教學(xué)實驗效果。
    針對這種現(xiàn)狀提出一種
  • 關(guān)鍵字: FPGA  頻譜分析儀    

幀同步系統(tǒng)的FPGA設(shè)計與實現(xiàn)

  • 1 引言
    數(shù)字通信時,一般以一定數(shù)目的碼元組成一個個“字”或“句”,即組成一個個“幀”進行傳輸,因此幀同步信號的頻率很容易由位同步信號經(jīng)分頻得出,但每個幀的開頭和末尾時刻卻無法由分頻器的輸出決定。為
  • 關(guān)鍵字: FPGA  幀同步  系統(tǒng)    

FPGA在智能壓力傳感器系統(tǒng)中的應(yīng)用

  • FPGA在智能壓力傳感器系統(tǒng)中的應(yīng)用,傳統(tǒng)的壓力傳感器系統(tǒng)結(jié)構(gòu)復(fù)雜,易受干擾,而智能傳感器系統(tǒng)能夠?qū)鞲衅?、?shù)據(jù)采集、微處理器和數(shù)據(jù)接口集成在一起,具有強大功能和良好抗干擾性能。在設(shè)計中利用FPGA具有構(gòu)建系統(tǒng)靈活,可實現(xiàn)片上系統(tǒng)的優(yōu)點,將系統(tǒng)中的嵌入式CPU、片上存儲器、數(shù)據(jù)接口電路以及數(shù)據(jù)采集控制模塊集成在一個芯片上,簡化了系統(tǒng)的設(shè)計;同時采用多種誤差消除方法,構(gòu)建一個具有零點漂移校準、隨機誤差消除和溫度補償功能的智能壓力傳感器系統(tǒng),給出了FPGA數(shù)據(jù)采集控制功能模塊的仿真結(jié)果。結(jié)果表明系統(tǒng)運行正確。
  • 關(guān)鍵字: 系統(tǒng)  應(yīng)用  傳感器  壓力  智能  FPGA  轉(zhuǎn)換器  

基于單片機和FPGA的頻率特性測試儀的設(shè)計

  • 1 引言
    頻率特性是網(wǎng)絡(luò)的性能最直觀反映。頻率特性測試儀是測量網(wǎng)絡(luò)的幅頻特性和相頻特性,并顯示相應(yīng)曲線的一種快速、方便、動態(tài)、直觀的測量儀器,可廣泛應(yīng)用于電子工程領(lǐng)域。
    該測試儀以掃頻外差為基本
  • 關(guān)鍵字: 測試儀  設(shè)計  特性  頻率  單片機  FPGA  基于  

一種基于FPGA的PXA270外設(shè)時序轉(zhuǎn)換接口設(shè)計

  • 1 引言
    ARCNET協(xié)議應(yīng)用于高速動車組列車通信網(wǎng)絡(luò)時,產(chǎn)生中央控制單元處理器PXA270與專用協(xié)議控制器件COM20020相連的時序不匹配問題,若用通用數(shù)字電路模塊進行時序轉(zhuǎn)換,PXA270需占用PXA270專門的資源(CPU時間
  • 關(guān)鍵字: FPGA  270  PXA  外設(shè)    

Xilinx FPGA支持杜比數(shù)碼專業(yè)編碼器

  •   全球可編程邏輯解決方案領(lǐng)導(dǎo)廠商賽靈思公司(Xilinx, Inc. (NASDAQ: XLNX))日前宣布,現(xiàn)場可編程門陣列 (FPGA) 首次實現(xiàn)對多通道杜比數(shù)碼專業(yè)編碼功能的支持。利用這種實施在賽靈思 Virtex®-5 器件中的編碼功能,廣播設(shè)備開發(fā)人員可針對快速變化的設(shè)計要求做出極其靈活的反應(yīng),充分滿足高性能、低功耗系統(tǒng)和精簡材料清單的需求,以降低開發(fā)成本。   賽靈思與業(yè)界領(lǐng)先的可重配置多媒體與通信IP 模塊供應(yīng)商 Coreworks聯(lián)手協(xié)作,向 Virtex-5 FPGA 移植了
  • 關(guān)鍵字: Xilinx  FPGA  編碼器  

基于FPGA和USB 2.0的高速CCD聲光信號采集系統(tǒng)

  • 設(shè)計一個基于FPGA和USB 2.0接口控制芯片的高速線陣CCD聲光信號采集系統(tǒng)。FPGA是硬件電路系統(tǒng)的核心,主要完成線陣CCD時序脈沖的產(chǎn)生,專用A/D芯片的采樣控制以及FIFO緩存數(shù)據(jù)的片內(nèi)配置,并通過USB 2.0接口與上位機實現(xiàn)通信。討論并開發(fā)了USB 2.0接口控制芯片的固件程序、USB驅(qū)動程序及上位機應(yīng)用程序。實驗結(jié)果表明,系統(tǒng)達到了設(shè)計要求,可廣泛應(yīng)用于相關(guān)領(lǐng)域的信號檢測。
  • 關(guān)鍵字: 信號  采集  系統(tǒng)  聲光  CCD  FPGA  USB  高速  基于  轉(zhuǎn)換器  

寬帶數(shù)字接收機的研究及實現(xiàn)

  • 1 引言
    軟件無線電是一種基于高速、高精度A/D轉(zhuǎn)換器與高速FPGA/DSP器件,并以軟件為核心的嶄新體系結(jié)構(gòu)。受A/D轉(zhuǎn)換器制約,直接采樣處理射頻信號有一定難度,因此目前普遍采用中頻數(shù)字化方案:射頻信號首先進
  • 關(guān)鍵字: 實現(xiàn)  研究  接收機  數(shù)字  寬帶  A/D轉(zhuǎn)換器  FPGA  

拉普拉斯算子的FPGA實現(xiàn)方法

  • 為了能快速實現(xiàn)Laplacian算子高頻增強功能,通過理論研究設(shè)計出該算子實現(xiàn)的硬件結(jié)構(gòu)。提出一種調(diào)用仿真軟件中宏功能塊快速實現(xiàn)算法的硬件實現(xiàn)模式。詳細介紹使用QuattusⅡ中Megafunctions宏功能模塊庫實現(xiàn)3×3模板Laplacian算子的過程。通過實驗結(jié)果表明,用該方法實現(xiàn)的算子能夠取得良好的濾波效果,且設(shè)計方便、有效,為相似功能模塊的設(shè)計提供了新思路。
  • 關(guān)鍵字: FPGA  拉普拉斯算子  實現(xiàn)方法    

DCT域數(shù)字水印算法的FPGA實現(xiàn)

  • 1 引言
    隨著計算機網(wǎng)絡(luò)和數(shù)字通信技術(shù)的迅速發(fā)展,數(shù)字技術(shù)使數(shù)字多媒體(數(shù)字視頻、數(shù)字音頻、數(shù)字圖像等)的傳輸與復(fù)制變得非常容易,但卻增加了多媒體信息被非法盜版的機會。數(shù)字作品的版權(quán)保護成為一個急需解
  • 關(guān)鍵字: FPGA  DCT  數(shù)字水印算法    

基于FPGA的多按鍵狀態(tài)識別系統(tǒng)設(shè)計方案

  • 1引言按鍵作為普通的輸入外設(shè),在儀器儀表工業(yè)設(shè)備和家用電器中得到廣泛應(yīng)用。目前,按鍵輸入電路Ⅲ...
  • 關(guān)鍵字: FPGA  多按鍵狀態(tài)識別  設(shè)計  

Sobel邊緣檢測的FPGA實現(xiàn)

  • 為了采用FPGA來實時實現(xiàn)Sobel邊緣檢測,設(shè)計者往往自己編寫代碼。在此介紹基于QuartusⅡ提供的參數(shù)可設(shè)置宏功能模塊,實現(xiàn)Sobel邊緣檢測的新方案。該方案獲得了比用戶編寫的代碼更優(yōu)的綜合和實現(xiàn)結(jié)果,節(jié)省了寶貴的設(shè)計時間,并且獲得了很好的邊緣檢測效果。
  • 關(guān)鍵字: Sobel  FPGA  邊緣檢測    

基于FPGA的微流控芯片電泳控制系統(tǒng)設(shè)計

  • 1 概 述
    微型全分析系統(tǒng)的概念由Manz于20世紀90年代初提出,是集進樣、樣品處理、分離檢測為一體的微型檢測和分析系統(tǒng)。微流控芯片是其主要部件,采用微電子機械系統(tǒng)技術(shù)集成了微管道、微電極等多種功能元器件。
  • 關(guān)鍵字: FPGA  微流控芯片  電泳  控制系統(tǒng)設(shè)計    

基于FPGA的DDS信號發(fā)生器設(shè)計

  • 介紹基于DDS的信號發(fā)生器工作原理和設(shè)計過程,并對關(guān)鍵模塊及外圍電路進行了仿真和誤差分析。經(jīng)功能驗證和分析測試,達到了預(yù)定的各項技術(shù)指標。旨在建立一種以FPGA為核心,功能可裁剪、波形任意調(diào)整的高性能信號發(fā)生器設(shè)計方法。采用該設(shè)計法將有效地降低開發(fā)成本,提高設(shè)計效率,并具有一定的工程指導(dǎo)意義和實用價值。
  • 關(guān)鍵字: FPGA  DDS  信號發(fā)生器    
共6369條 343/425 |‹ « 341 342 343 344 345 346 347 348 349 350 » ›|

avant fpga介紹

您好,目前還沒有人創(chuàng)建詞條avant fpga!
歡迎您創(chuàng)建該詞條,闡述對avant fpga的理解,并與今后在此搜索avant fpga的朋友們分享。    創(chuàng)建詞條

熱門主題

樹莓派    linux   
關(guān)于我們 - 廣告服務(wù) - 企業(yè)會員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機EEPW
Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國際技術(shù)信息咨詢有限公司
備案 京ICP備12027778號-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473