新聞中心

EEPW首頁 > 編輯觀點 > 先進封裝為何成為半導(dǎo)體大廠的“必爭之地”

先進封裝為何成為半導(dǎo)體大廠的“必爭之地”

作者:陳玲麗 時間:2023-09-12 來源:電子產(chǎn)品世界 收藏

近些年,隨著工藝不斷演進,硅的工藝發(fā)展趨近于其物理瓶頸,晶體管再變小變得愈加困難:新一代GAAFET(Gate-All-Around,閘極環(huán)繞場效應(yīng)晶體管)新技術(shù)使用更加立體和復(fù)雜的3D晶體管,因此難度更高。另一方面,制程越先進,生產(chǎn)技術(shù)與制造工序越復(fù)雜,制造成本呈指數(shù)級上升趨勢。

本文引用地址:http://www.butianyuan.cn/article/202309/450469.htm

升級的兩個永恒主題 —— 性能、體積/面積,而先進制程和先進的進步,均能夠使得向著高性能和輕薄化前進。在摩爾定律失效之前,芯片系統(tǒng)性能的提升可以完全依賴于芯片本身制程提升,通過縮小單個晶體管特征尺寸,在同等芯片面積(Die size)水平下,提升晶體管集成度(同等設(shè)計框架,芯片性能/算力與晶體管數(shù)目正相關(guān))。

但隨著摩爾定律失效,芯片制程提升速度大大放緩,后摩爾時代到來,自然而然從先前“如何把芯片變得更小”的思維開始轉(zhuǎn)變?yōu)椤叭绾伟研酒獾酶 ?,先進因能同時提高產(chǎn)品功能和降低成本是后摩爾時代的主流發(fā)展方向。

先進并不能改變單個晶體管尺寸,是從系統(tǒng)效率提升的角度提高芯片性能:一是讓CPU更靠近Memory,讓“算”更靠近“存”,提升每一次計算的算存效率;二是讓單個芯片封裝內(nèi)集成更多的元件,因為元件在芯片內(nèi)部的通訊效率比在板級上更高,可以從系統(tǒng)層面提升芯片性能。

何謂先進封裝?

目前封裝技術(shù)正在從傳統(tǒng)封裝(SOT、QFN、BGA等)向先進封裝(FC、FIWLP、FOWLP、TSV、SIP等)轉(zhuǎn)型。先進封裝作為提高連接密度、提高系統(tǒng)集成度與小型化的重要方法,在單芯片向更高端制程推進難度大增時,擔(dān)負(fù)起延續(xù)摩爾定律的重任。

自20世紀(jì)90年代中期之后,集成電路封裝體的外觀(形狀、引腳樣式)并未發(fā)生重大變化,但其內(nèi)部結(jié)構(gòu)發(fā)生了三次重大技術(shù)革新:引線鍵合(Wire Bonding)、倒裝封(Flip Chip)和晶圓級封裝(WLCSP,Wafer Level Chip Scale Package)。

捕獲.PNG

· 引線鍵合(Wire Bonding)技術(shù)開發(fā)于20世紀(jì)50年代,至今仍在使用,它是一種使用焊球和細金屬線將印刷電路板(PCB)連接到芯片(包含集成電路的硅方塊)的互連技術(shù)。它需要的空間比封裝的芯片更少,還可以連接相對較遠的點,但在高溫、高濕和溫度循環(huán)的情況下可能會失效,而每個鍵必須按順序形成,這也增加了復(fù)雜性并減慢制造速度。

· 1995年,倒裝芯片(Flip chip)是封裝技術(shù)的第一次重大演變,使用了一個面朝下的芯片,其整個表面區(qū)域通過將PCB與芯片粘合的焊料“凸塊”進行互連。倒裝芯片封裝是目前最常見、成本最低的技術(shù),主要用于CPU、智能手機和射頻系統(tǒng)封裝解決方案。倒裝芯片允許更小的裝配,可以處理更高的溫度,但必須安裝在非常平整的表面上,同時也不容易更換。

· 2000年出現(xiàn)了晶圓級封裝(WLCSP),是對整片晶圓進行封裝測試后再切割得到單個成品芯片的技術(shù),封裝后的芯片尺寸與裸片一致。晶圓級封裝和倒裝芯片在芯片配置方面的最大區(qū)別在于,WLCSP的芯片和PCB之間沒有基板,重新分布層(RDL)取代了基板,從而縮小了封裝并增強了導(dǎo)熱性。

晶圓級封裝主要分為兩種類型:扇入式(Fan-in)和扇出式(Fan-out)。扇入型利用RDL層將電信號向內(nèi)擴展至芯片中心;扇出型則將電信號向外擴展至芯片外的區(qū)域,可連接更多引腳。

2010年堆疊式晶圓級封裝得到了發(fā)展,它可以在同一封裝中實現(xiàn)多個集成電路,被用于整合邏輯和存儲芯片的異質(zhì)結(jié)合,以及存儲芯片堆疊。其中2.5D封裝技術(shù)是通過中介層將不同芯片進行電路連接,電路連接效率更高、速度更快;而3D封裝技術(shù)是直接實現(xiàn)硅片或者芯片之間的多層堆疊。

先進封裝分為兩個方向:

i. 小型化:3D封裝突破傳統(tǒng)的平面封裝的概念,通過單個封裝體內(nèi)多次堆疊,實現(xiàn)了存儲容量的倍增,進而提高芯片面積與封裝面積的比值。

ii. 高集成:系統(tǒng)級封裝SiP能將數(shù)字和非數(shù)字功能、硅和非硅材料、CMOS和非CMOS電路以及光電、MEMS、生物芯片等器件集成在一個封裝內(nèi),在不單純依賴工藝縮小的情況下,提高集成度,以實現(xiàn)終端電子產(chǎn)品的輕薄短小、低功耗等功能,同時降低廠商成本。

先進封裝釋放先進制程產(chǎn)能

在芯片短缺和地緣政治緊張的局勢下,先進封裝變得更加重要。2022年先進封裝市場約占整個集成電路封裝市場的48%,市場份額還在穩(wěn)步提升。先進封裝將成為全球封測市場的主要推動力和提升點,而且先進封裝相較于傳統(tǒng)封裝具有更高的附加值。

捕獲.PNG

Yole Group最新的Advanced Packaging Market Monitor(先進封裝市場監(jiān)測)顯示與上一年相比,2022年的先進封裝收入為443億美元,增長了約10%。預(yù)計2022-2028年復(fù)合年增長率(CAGR)為10.6%,到2028年達到786億美元。相比之下,傳統(tǒng)封裝市場預(yù)計從2022-2028年的CAGR將放緩至3.2%,達到575億美元。

盡管傳統(tǒng)封裝目前主導(dǎo)晶圓生產(chǎn),到2022年將占總產(chǎn)量的近73%,但先進封裝市場的份額正在逐漸增加。先進封裝晶圓的市場份額預(yù)計將從2022年的約27%增長到2028年的32%。

隨著運算需求的日益復(fù)雜,異構(gòu)計算大行其道,更多不同類型的芯片需要被集成在一起,先進封裝通過提升了芯片集成密度和互聯(lián)速度的做法,大幅提升了相關(guān)產(chǎn)品的內(nèi)存容量和數(shù)據(jù)傳輸速率。而在5G、自動駕駛汽車、物聯(lián)網(wǎng)技術(shù)以及XR等領(lǐng)域,這些新興的應(yīng)用同樣對先進封裝需求十分旺盛。

捕獲.PNG

從芯片結(jié)構(gòu)來看,這類芯片需要滿足高性能、小尺寸和低功耗等特性,因此需要實現(xiàn)更高密度的集成。相較于傳統(tǒng)封裝,先進封裝尤其是3D封裝在功能和性能上具有非常多的優(yōu)勢;另一方面,隨著半導(dǎo)體應(yīng)用愈發(fā)豐富,先進封裝技術(shù)還需要承擔(dān)一些輔助手段,為芯片設(shè)計公司提供思路,降低晶圓廠的制造門檻。

芯片面積越大工藝良率越低,在實際制造中得到的單顆芯片的制造成本就越高,同時在先進制程制造困難的背景下,通過芯片堆疊的方式,可以一定程度減少算力劣勢。比如,通過14nm的兩顆芯片堆疊,去達到同樣晶體管數(shù)目的7nm芯片性能;通過多顆28nm的芯片堆疊,去達到14nm芯片性能。但是堆疊更多芯片,就意味著需要更大的IC載板、更多的Chiplet小芯片、更多的封裝材料,導(dǎo)致功耗增大、體積/面積增加、成本的增加。

所以,此種堆疊方案在HPC(服務(wù)器、AI計算)、基站類大芯片領(lǐng)域更有適用價值,而對于消費電子領(lǐng)域如手機AP芯片和可穿戴芯片,在其應(yīng)用場景對空間體積有嚴(yán)苛約束的條件下,芯片堆疊則較難施展。

先進封裝可以釋放一部分先進制程產(chǎn)能,使之用于更有急迫需求的場景。通過芯片堆疊,能夠在體積空間限制、芯片成本以及功耗限制不敏感的使用場景下,減少對先進制程的依賴。讓當(dāng)下有限的先進制程產(chǎn)能,以更高的戰(zhàn)略視角,應(yīng)用在更需要先進工藝的應(yīng)用需求中。

半導(dǎo)體大廠成為先進封裝玩家

相比較于芯片設(shè)計以及芯片制造而言,芯片封裝技術(shù)門檻較低,但這并不意味著先進封裝技術(shù)更容易實現(xiàn)。擁有得天獨厚優(yōu)勢的晶圓廠商們也“嗅”到了先進封裝市場的機遇,紛紛開始大力布局先進封裝領(lǐng)域,以、、為代表的晶圓廠商們,都在不斷加大在先進封裝領(lǐng)域的投資力度,頻頻推出在先進封裝領(lǐng)域的創(chuàng)新技術(shù)。

2015年憑借InFO封裝技術(shù)獨攬了蘋果的大單。在接下來的幾年中,臺積電也在先進封裝領(lǐng)域不斷發(fā)力,接連推出了CoWoS、SOIC 3D等技術(shù),完善其在先進封裝領(lǐng)域的布局。2020年臺積電將其旗下SoIC、InFO及CoWoS等3D IC技術(shù)平臺進行了整合,命名為3D Fabric,在產(chǎn)品設(shè)計方面3D Fabric提供了最大的彈性,整合邏輯Chiplet、高帶寬內(nèi)存(HBM)、特殊制程芯片。

最近臺積電新開了一家3D Fabric封裝廠Fab6,這是臺積電首個一體式的先進封裝測試工廠,該廠已準(zhǔn)備好量產(chǎn)臺積電SoIC封裝技術(shù)。由于目前AI芯片訂單的高需求,臺積電的先進封裝需求已經(jīng)遠大于現(xiàn)有產(chǎn)能。

作為臺積電在晶圓代工領(lǐng)域的勁敵,競爭從制程擴展到了先進封裝領(lǐng)域,在先進封裝的布局三星也毫不示弱。按照三星先前公布的計劃,其目標(biāo)是在2027年將先進制程產(chǎn)能較2022年提升3倍以上,還專門成立了先進封裝部門(AVP)。

盡管起步較晚,但三星近年來一直堅持不懈地更新異質(zhì)封裝技術(shù),沿著水平集成和垂直集成兩種方向,先后研發(fā)出三大先進封裝技術(shù):I-Cube、X-Cube和H-Cube。2018年,推出首款I(lǐng)-Cube2方案;2020年,推出X-Cube方案的3D堆疊設(shè)計;2021年11月,宣布已與Amkor聯(lián)合開發(fā)出混合基板立方體(H-Cube)技術(shù),這是三星最新的2.5D封裝解決方案,大大降低了高性能計算等市場的準(zhǔn)入門檻。

近年來,在先進工藝的研發(fā)方面頻頻遭遇“難產(chǎn)”,與臺積電、三星逐漸拉開差距。因此,英特爾愈發(fā)看重先進封裝的研發(fā),開始不斷發(fā)力先進封裝技術(shù)。英特爾計劃在2025年之前重返產(chǎn)業(yè)巔峰,將先進封裝技術(shù)視為其重振旗鼓的關(guān)鍵。2021年12月,英特爾表示將投資70億美元,以擴大其在馬來西亞檳城的先進半導(dǎo)體封裝工廠的生產(chǎn)能力。

英特爾主推的先進封裝工藝有EMIB和Foveros。EMIB是2.5D硅中介層的替代方案,與傳統(tǒng)2.5D封裝的相比沒有TSV,因此具有正常的封裝良率、無需額外工藝和設(shè)計簡單等優(yōu)點;Foveros是高于EMIB的3D芯片堆疊技術(shù),利用晶圓級封裝能力,與EMIB封裝方式相比,F(xiàn)overos更適用于小尺寸產(chǎn)品或?qū)?nèi)存帶寬要求更高的產(chǎn)品。

先進封裝將成為核心競爭力

由于先進工藝研發(fā)難度巨大,且研發(fā)成本投入巨大,因此一家晶圓制造廠不太可能有在性能、功耗、成本等領(lǐng)域全方位大幅領(lǐng)先于其他晶圓制造廠的情況,更多的是在不同的設(shè)計領(lǐng)域,不同的晶圓廠各有所長。同樣,不同的廠商擅長不同領(lǐng)域的先進封裝技術(shù),例如臺積電擅長高性能計算,三星擅長存儲相關(guān)領(lǐng)域等。

值得注意的是,先進封裝可能將改變半導(dǎo)體大廠在先進制程領(lǐng)域的競爭格局。因為先進制程芯片離不開先進封裝技術(shù),而且更關(guān)鍵的是先進封裝技術(shù)將會和先進制程越來越緊密地結(jié)合,而掌握這些先進封裝技術(shù)的也恰恰是臺積電、三星和英特爾這樣的先進制程晶圓制造廠,而不是第三方封測廠。

在未來,先進封裝的重要性甚至不亞于先進制程的優(yōu)化能力,未來的晶圓制造廠競爭力將會取決于半導(dǎo)體工藝和先進封裝技術(shù)的綜合實力。例如,目前臺積電能獲得英偉達H100 GPU訂單的一個重要因素,就是因為臺積電同時擁有最先進的4nm半導(dǎo)體制造工藝以及CoWoS先進封裝技術(shù)。事實上,由于先進封裝和先進制程供貨商互相重合,代工大廠可以利用其在先進封裝領(lǐng)域的優(yōu)勢來彌補在先進制程上的劣勢來撬動市場。



評論


相關(guān)推薦

技術(shù)專區(qū)

關(guān)閉