首頁  資訊  商機   下載  拆解   高校  招聘   雜志  會展  EETV  百科   問答  電路圖  工程師手冊   Datasheet  100例   活動中心  E周刊閱讀   樣片申請
EEPW首頁 >> 主題列表 >> 5nm

臺積電產(chǎn)能供不應求,將針對先進制程和先進封裝漲價

  • 6月17日,據(jù)臺媒《工商時報》報道,在產(chǎn)能供不應求的情況下,臺積電將針對3nm/5nm先進制程和先進封裝執(zhí)行價格調(diào)漲。其中,3nm代工報價漲幅或在5%以上,而2025年度先進封裝報價也將上漲10~20%。
  • 關(guān)鍵字: 臺積電  制程  封裝  3nm  5nm  英偉達  CoWoS  

臺積電準備推出基于12和5nm工藝節(jié)點的下一代HBM4基礎芯片

  • 在 HBM4 內(nèi)存帶來的幾大變化中,最直接的變化之一就是內(nèi)存接口的寬度。隨著第四代內(nèi)存標準從已經(jīng)很寬的 1024 位接口升級到超寬的 2048 位接口,HBM4 內(nèi)存堆棧將不會像以前一樣正常工作;芯片制造商需要采用比現(xiàn)在更先進的封裝方法,以適應更寬的內(nèi)存。作為 2024 年歐洲技術(shù)研討會演講的一部分,臺積電提供了一些有關(guān)其將為 HBM4 制造的基礎模具的新細節(jié),這些模具將使用邏輯工藝制造。由于臺積電計劃采用其 N12 和 N5 工藝的變體來完成這項任務,該公司有望在 HBM4 制造工藝中占據(jù)有
  • 關(guān)鍵字: 臺積電  12nm  5nm  工藝  HBM4  基礎芯片  

Meta 展示新款 MTIA 芯片:5nm 工藝、90W 功耗、1.35GHz

  • 4 月 11 日消息,Meta 公司于 2023 年 5 月推出定制芯片 MTIA v1 芯片之后,近日發(fā)布新聞稿,介紹了新款 MTIA 芯片的細節(jié)。MTIA v1 芯片采用 7nm 工藝,而新款 MTIA 芯片采用 5nm 工藝,采用更大的物理設計(擁有更多的處理核心),功耗也從 25W 提升到了 90W,時鐘頻率也從 800MHz 提高到了 1.35GHz。Meta 公司表示目前已經(jīng)在 16 個數(shù)據(jù)中心使用新款 MTIA 芯片,與 MTIA v1 相比,整體性能提高了 3 倍。但 Meta 只主動表示
  • 關(guān)鍵字: Meta  MTIA 芯片  5nm 工藝  90W 功耗  1.35GHz  

英特爾披露5nm“中國特供版”AI 芯片,性能或暴降92%,最快6月推出

  • 關(guān)于英特爾Gaudi 3的“中國特供版” AI 芯片有了新進展。4月15日消息,芯片巨頭英特爾(Intel)日前在官網(wǎng)發(fā)布一份24頁的“Gaudi 3 AI加速器白皮書”中披露,英特爾將推出Gaudi 3在中國發(fā)售的兩款“特供版”AI 芯片產(chǎn)品。英特爾Gaudi 3 AI芯片(圖片來源:Intel官網(wǎng))具體包括兩種硬件形態(tài)加速卡:一款型號為HL-328的OAM兼容夾層卡(Mezzanine Card),預計將于今年6月24日推出;另一款是型號為HL-388的PCle加速卡,預計將于今年9月24日推出。而基
  • 關(guān)鍵字: 英特爾  5nm  AI  

使用半大馬士革工藝流程研究后段器件集成的工藝

  • ●? ?介紹隨著技術(shù)推進到1.5nm及更先進節(jié)點,后段器件集成將會遇到新的難題,比如需要降低金屬間距和支持新的工藝流程。為了強化電阻電容性能、減小邊緣定位誤差,并實現(xiàn)具有挑戰(zhàn)性的制造工藝,需要進行工藝調(diào)整。為應對這些挑戰(zhàn),我們嘗試在1.5nm節(jié)點后段自對準圖形化中使用半大馬士革方法。我們在imec生產(chǎn)了一組新的后段器件集成掩膜版,以對單大馬士革和雙大馬士革進行電性評估。新掩膜版的金屬間距分別為14nm、16nm、18nm、20nm和22nm,前兩類是1.5nm節(jié)點后段的最小目標金屬間距
  • 關(guān)鍵字: 半大馬士革  后段器件集成  1.5nm  SEMulator3D  

未來的半導體第一大國 印度自研96核CPU來了:直接上5nm

  • 5月22日消息,在半導體領域,印度也燃起了雄心,此前沒啥基礎的他們都要勵志在5年內(nèi)做全球第一的半導體大國,而且全產(chǎn)業(yè)鏈發(fā)展,印度高性能計算中心C-DAC本周就公布了自己研發(fā)的Aum HPC處理器,最多96核,而且是5nm工藝。在高性能計算市場,ARM處理器近年來確實取得了一些成績,富士通研發(fā)的48核A64FX處理器之前還成為TOP500超算第一,NVIDIA也有72核到144核的Grace處理器,Ampere公司之前推出了80核的ARM處理器。這幾天Ampere還推出了新一代的云數(shù)據(jù)中心處理器“Amper
  • 關(guān)鍵字: 印度  96核  5nm  

4~5nm良率逐漸穩(wěn)定,客戶訂單增加?三星回應

  • 據(jù)《科創(chuàng)板日報》報道,針對“因4~5納米先進制程良率逐漸穩(wěn)定,客戶訂單正逐漸增加,稼動率也相應反彈,12英寸稼動率回升至九成?!边@一市場消息,三星半導體對其進行了回應。報道指出,三星半導體相關(guān)負責人回應表示,“暫無法透露最新良率或者客戶情況。正如我們在2022年4月的財務電話會議上所提及,5nm制程良率自去年年初以來已穩(wěn)定下來,而4nm制程良率也已得到了提升,自2022年第一季度以來一直在預期的軌道上。自此4~5nm制程良率已經(jīng)穩(wěn)定了。”據(jù)韓國媒體BusinessKorea報道,三星4納米制程良率相較之前
  • 關(guān)鍵字: 5nm  良率  晶圓代工  三星  

AMD 推出首款 5nm 基于 ASIC 的媒體加速器卡,開啟大規(guī)模交互式流媒體服務新時代

  • 2023 年 4 月 6 日,加利福尼亞州圣克拉拉 — AMD (超威,納斯達克股票代碼:AMD)今日宣布推出 AMD Alveo? MA35D 媒體加速器,該卡具備兩個 5 納米基于 ASIC 的、支持 AV1 壓縮標準的視頻處理單元( VPU ),專為推動大規(guī)模直播互動流媒體服務新時代而打造。隨著全球視頻市場超 70% 的份額由直播內(nèi)容主導1,一類新型的低時延、大容量交互式流媒體應用正在涌現(xiàn),例如連線觀賞、直播購物、在線拍賣和社交流媒體。?AMD Alveo MA35D 媒體加速器Alveo
  • 關(guān)鍵字: AMD  5nm  ASIC  媒體加速器卡  大規(guī)模交互式流媒體服務  

支持下一代 SoC 和存儲器的工藝創(chuàng)新

  • 本文將解析使 3D NAND、高級 DRAM 和 5nm SoC 成為可能的架構(gòu)、工具和材料。要提高高級 SoC 和封裝(用于移動應用程序、數(shù)據(jù)中心和人工智能)的性能,就需要對架構(gòu)、材料和核心制造流程進行復雜且代價高昂的更改。正在考慮的選項包括新的計算架構(gòu)、不同的材料,包括更薄的勢壘層和熱預算更高的材料,以及更高縱橫比的蝕刻和更快的外延層生長。挑戰(zhàn)在于如何以不偏離功率、性能和面積/成本 (PPAC) 曲線太遠的方式組合這些。當今的頂級智能手機使用集成多種低功耗、高性能功能的移動 SoC 平臺,包括一個或多
  • 關(guān)鍵字: 3D NAND  DRAM  5nm  SoC  

第三大CPU架構(gòu)RISC-V沖向5nm 192核 國產(chǎn)版也要來了:單核性能有驚喜

  • 作為僅次于x86、ARM的第三大CPU架構(gòu),RISC-V憑借開源、免費的優(yōu)勢迅速發(fā)展,之前主要用于低功耗市場,但是現(xiàn)在也開始沖擊高性能領域,Ventana公司日前已經(jīng)做出了5nm 192核的芯片。Ventana公司日前發(fā)布了第一款產(chǎn)品Veyron V1,該公司研發(fā)了一種高性能RISC-V架構(gòu),每個CPU模塊中有16個RISC-V內(nèi)核,頻率3.6GHz,整合48MB緩存,整個處理器可以集成12個CPU模塊,做到192核,臺積電5nm工藝生產(chǎn)制造,還有自己開發(fā)的高性能IO核心,延遲低至7ns,接近原生核心性能
  • 關(guān)鍵字: RISC-V  5nm  192核  

持續(xù)突破,概倫電子NanoSpice?通過三星代工廠5nm工藝技術(shù)認證

  • 概倫電子宣布其高性能并行SPICE仿真器NanoSpice?通過三星代工廠5nm工藝技術(shù)認證,滿足雙方共同客戶對高精度、大容量和高性能的高端電路仿真需求。三星5nm工藝可以提高良率、降低功耗并改善性能,這就需要更高精度的電路仿真和驗證工具來實現(xiàn)更優(yōu)化的先進IC設計。NanoSpice?的認證屬于三星代工廠的EDA認證項目,該仿真器可支持最新版的OMI接口(開放模型接口),在模擬IP的大規(guī)模后仿網(wǎng)表仿真中表現(xiàn)出良好的仿真收斂性和準確性,幫助雙方共同客戶充滿信心地設計,縮短設計周期的同時確保更高精度。作為新一
  • 關(guān)鍵字: 概倫電子  NanoSpice?  5nm  

Credo正式推出基于臺積電5nm及4nm先進制程工藝的全系列112G SerDes IP產(chǎn)品

  • ?Credo Technology(納斯達克股票代碼:CRDO)近日正式宣布推出其基于臺積電5nm及4nm制程工藝的112G PAM4 SerDes IP全系列產(chǎn)品,該系列能夠全面覆蓋客戶在高性能計算、交換芯片、人工智能、機器學習、安全及光通信等領域的廣泛需求,包括:超長距(LR+)、長距(LR)、中距(MR)、超極短距(XSR+)以及極短距(XSR)。?Credo IP產(chǎn)品業(yè)務開發(fā)助理副總裁Jim Bartenslager表示, “Credo先進的混合信號以及數(shù)字信號處理(DSP)1
  • 關(guān)鍵字: Credo  臺積電  5nm  4nm  SerDes  IP  

臺積電美國 5nm 芯片廠舉行上梁典禮,預計 2024 年量產(chǎn)

  • IT之家7 月 28 日消息,兩年前,臺積電宣布將投資數(shù)十億美元,在美國亞利桑那州廠建立 5nm 晶圓廠。該工廠于 2021 年 4 月動工興建,預計 2024 年營運量產(chǎn),月產(chǎn)能 2 萬片。昨日,臺積電為該工廠舉行了上梁典禮。臺積電的領英(linkedin)賬號顯示,本次上梁典禮有 4000 多名臺積電員工及合作伙伴參加,他們一起慶祝了臺積電 5nm 工廠的新里程碑。該典禮的舉行意味著該工廠的基礎設施全部完工,即將開始安裝設備進行調(diào)試。該工廠未來產(chǎn)能以 5nm 工藝為主,這將是美國最先進的半導體工藝。此
  • 關(guān)鍵字: 臺積電  5nm  美國  

臺積電希望美國打錢支持:5nm晶圓廠成本超預期

  • 芯研所7月15日消息,2020年臺積電宣布將在美國建設晶圓廠,這是他們首次在海外建設先進工藝的5nm工廠,總投資計劃高達240億美元,目前還在建設中。在美國建設晶圓廠的成本是要高于亞洲地區(qū)的,在今天的Q2財報會議上,臺積電也談到了這個問題,表示仍處于工廠的建設階段,美國工廠的成本比我們預期的要高。芯研所采編臺積電表示,我們將這些信息提供給了當?shù)卣?,讓他們?nèi)媪私獬杀静罹?,臺積電仍在努力爭取政府補貼,將繼續(xù)努力降低成本。此前美國推出了高達520億美元的半導體補貼法案,很多半導體公司都在爭取這一補貼,不過這
  • 關(guān)鍵字: 臺積電  晶圓代工  5nm  

三星即將量產(chǎn)3nm工藝 功耗比大降50%

  • 芯研所6月29日消息,據(jù)韓國媒體報道稱,三星將在未來幾天宣布開始批量制造,在生產(chǎn)世界上最先進的芯片的過程中擊敗競爭對手臺積電。在此之前這家韓國科技巨頭在向更小的工藝節(jié)點轉(zhuǎn)移時出現(xiàn)了很多產(chǎn)量問題,以至于影響了它的一些最大客戶的業(yè)務,如高通公司,該公司現(xiàn)在正考慮將臺積電用于未來的移動芯片。NVIDIA在處理了Ampere GPU的良品率問題和相對較低的能源效率后,正為其下一代產(chǎn)品選擇臺積電,這些GPU原本是在三星的8nm工藝節(jié)點上制造的。來自韓國當?shù)孛襟w的報道顯示,三星正準備宣布開始3納米的批量制造,可能最快
  • 關(guān)鍵字: 三星  5nm  芯片  
共156條 1/11 1 2 3 4 5 6 7 8 9 10 » ›|

5nm介紹

您好,目前還沒有人創(chuàng)建詞條5nm!
歡迎您創(chuàng)建該詞條,闡述對5nm的理解,并與今后在此搜索5nm的朋友們分享。    創(chuàng)建詞條

相關(guān)主題

65nm  45nm  25nm 

熱門主題

45nm    25nm    樹莓派    linux   
關(guān)于我們 - 廣告服務 - 企業(yè)會員服務 - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機EEPW
Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國際技術(shù)信息咨詢有限公司
備案 京ICP備12027778號-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473